0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

vivado仿真流程

FPGA学习笔记 来源:数模电学习笔记 作者:数模电学习笔记 2023-07-18 09:06 次阅读

vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。

1、前期准备工作

在上一篇博客中我们已经详细介绍了vivado建立工程的流程,有需要的朋友可以移步https://www.elecfans.com/d/2179253.html进行查看;本次我们在建立的工程基础上进行仿真文件的添加和仿真演示。

2、添加仿真文件

第一步点击Add Sources添加仿真文件,具体步骤如下:

wKgZomS1SbWAMPwAAABn9mEhlA4808.png

接下来点击Add or create simulation sources,再点击Next

wKgZomS1ScGAWBr7AABso7FcUlg926.png

点击Create File创建文件

wKgaomS1ScyAcG-SAAAwqh52-Z8474.png

一般将其命名为文件名_tb,其中tb为testbench的缩写,一般为仿真测试文件,再点击OK

wKgaomS1SdaAPbL3AABxd2yGoSo325.png

最后点击Finish完成文件创建

3、编写仿真文件代码

wKgZomS1SfSAbgMtAAA7_ioffIY323.png

之后在sim_1文件夹下可以找到创建的文件

wKgZomS1SfyAC4QfAAAO3gN_w4w078.png

在上述部分完成仿真代码的编写之后点击保存按钮

4、进行仿真设置

wKgaomS1SgiAMKRmAAAw1R4tI5c888.png

鼠标右键点击SIMULATION,之后再点击Simulation Settings

wKgZomS1ShKAfQVFAACwi8ml4eo162.png

上方红框为仿真软件,我们选择Vivado Simulator也即vivado自带的仿真软件;下方为仿真语言类型我们选择Mixed也即混合型

wKgaomS1SiCAN-PaAACrGgtvseA693.png

在Simulation中所示位置可以调节仿真的步进时长,此处设置为10ms;之后点击OK完成设置

5、功能仿真

wKgZomS1SiqADQJMAAA3yJtWeTg035.png

点击Run Simulation 之后点击第一个Run Behavioral Simulation 进行功能仿真

wKgaomS1SjaATtHmAAAibl2nZMM048.png

运行结束后即可查看仿真结果并且进行相应的调节观察仿真波形图

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132163
  • 文件
    +关注

    关注

    1

    文章

    540

    浏览量

    24402
  • 开发软件
    +关注

    关注

    1

    文章

    24

    浏览量

    12858
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101
收藏 人收藏

    评论

    相关推荐

    FPGA开发Vivado仿真设计案例分析

    、IES、VCS、Rivera-PRO和Active-HDl。 Vivado仿真流程如下图所示:     仿真可以在设计阶段的不同时间点进行,主要包括如下三个阶段: RTL级行为
    的头像 发表于 12-31 11:44 4787次阅读
    FPGA开发<b class='flag-5'>Vivado</b>的<b class='flag-5'>仿真</b>设计案例分析

    基于microblaze的vivado开发流程

    、SW拨码开关以下是官网提供的资料链接:arty a7开发板资料Pmod DA4资料vivado安装说明board files添加基于microblaze的vivado开发流程以下是在viva
    发表于 01-18 08:09

    Vivado设计之Tcl定制化的实现流程

    其实Tcl在Vivado中还有很多延展应用,接下来我们就来讨论如何利用Tcl语言的灵活性和可扩展性,在Vivado中实现定制化的FPGA设计流程。 基本的FPGA设计实现流程 FPGA
    发表于 11-18 01:48 3338次阅读
    <b class='flag-5'>Vivado</b>设计之Tcl定制化的实现<b class='flag-5'>流程</b>

    Vivado下的仿真详细过程

    本文通过一个简单的例子,介绍Vivado 下的仿真过程。主要参考了miz702的教程,同时也参考了Xilinx的ug937, xapp199.。
    发表于 11-10 10:53 3.7w次阅读

    如何使用Vivado中的Synopsys VCS仿真器进行仿真

    了解如何使用Vivado中的Synopsys VCS仿真器使用MicrBlaze IPI设计运行仿真。 我们将演示如何编译仿真库,为IP或整个项目生成
    的头像 发表于 11-29 06:57 6903次阅读

    Vivado综合引擎的增量综合流程

    Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了。这使用户能够在设计变化较小时减少总的综合运行时间。
    发表于 07-21 11:02 1390次阅读

    Vivado 开发教程(四) 行为仿真

    本文介绍如何在教程(三)基础上, 关联ELF输出文件并使用vivado对系统进行行为仿真
    发表于 03-01 10:25 24次下载
    <b class='flag-5'>Vivado</b> 开发教程(四) 行为<b class='flag-5'>仿真</b>

    Vivado设计流程指导手册

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 03-22 11:39 50次下载
    <b class='flag-5'>Vivado</b>设计<b class='flag-5'>流程</b>指导手册

    Vivado设计流程指导说明

    Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程
    发表于 03-25 14:39 28次下载

    Vivado调用Questa Sim或ModelSim仿真小技巧

    Vivado调用Questa Sim或ModelSim仿真中存在的一些自动化问题的解决方案。 Vivado调用Questa Sim仿真中存在的一些问题 首先说明一下Modelsim与Q
    的头像 发表于 09-02 10:12 7389次阅读
    <b class='flag-5'>Vivado</b>调用Questa Sim或ModelSim<b class='flag-5'>仿真</b>小技巧

    如何在批模式下运行 Vivado 仿真器?

    在 Windows 下,我喜欢在批处理模式下运行 Vivado 仿真器。 我创建了仿真批文件 (.bat) ,包含以下命令。当我运行批文件,执行第一条命令后脚本中止。如何正确在批模式下运行 V
    发表于 08-01 09:43 779次阅读

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程
    的头像 发表于 05-05 09:44 735次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现<b class='flag-5'>流程</b>

    vivado创建工程流程

    vivado的工程创建流程对于大部分初学者而言比较复杂,下面将通过这篇博客来讲解详细的vivado工程创建流程。帮助自己进行学习回顾,同时希望可以对有需要的初学者产生帮助。
    的头像 发表于 07-12 09:26 1325次阅读
    <b class='flag-5'>vivado</b>创建工程<b class='flag-5'>流程</b>

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行
    的头像 发表于 07-24 09:04 2006次阅读
    <b class='flag-5'>Vivado</b>调用Modelsim<b class='flag-5'>仿真</b>

    vivado主界面及设计流程

    Vivado设计主界面,它的左边是设计流程导航窗口,是按照FPGA的设计流程设置的,只要按照导航窗口一项一项往下进行,就会完成从设计输入到最后下载到开发板上的整个设计流程
    的头像 发表于 09-17 15:40 1636次阅读
    <b class='flag-5'>vivado</b>主界面及设计<b class='flag-5'>流程</b>