0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

怎样单独使用modelsim仿真xilinx呢?

pdh的FPGA 来源:pdh的FPGA 2023-12-04 18:26 次阅读

如何使用modelsim仿真xilinx

直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。

1.需要vivado软件安装路径下的glbl.v文件

路径举例:D:XilinxVivado2017.4dataverilogsrcglbl.v

2.需要编写sim.do文件

(1). sim.do文件包含了testbench.v文件.

(2).其他源代码.v/.sv文件.

(3).IP simnetlist.v文件,仿真IP核需要.

3.用一个文件夹,将该两个文件放同一目录下,如:

46797af6-928d-11ee-939d-92fbcf53809c.png

4.文件sim.do编写如下举例:

vlib work;


vlog glbl.v


Vlog ../../../duc_in_sel_module_5g/dds.v


Vlog ../../../duc_in_sel_module_5g/duc_in_sel_module_5g.v


Vlog ../../../duc_in_sel_module_5g/ip/complex_multiplier_sim_netlist.v


Vlog ../../../duc_in_sel_module_5g/ip/IF_DDS_sim_netlist.v


vlog -sv ../cpri_ctrl_nr/cpri_ctrl_nr_decomp_regroup.sv


vlog -sv ../cpri_ctrl_nr/cpri_ctrl_nr_tx_data_regroup.sv


vlog -sv ../cpri_ctrl_nr/cpri_ctrl_nr_tx_data_sel.sv


vlog -sv ../testbench/tb_xxxx.sv


vsim -t ps -novopt work.tb_xxxx glbl 


-L secureip 


-L simprims_ver 


-L unifast_ver 


-L unimacro_ver 


-L unisims_ver 


-L xpm


log -r /*



以上编写完成

其中 ../ 为sim.do文件当前目录的上一级文件夹,../../ 则为上两级文件夹

IF_DDS_sim_netlist.v 就是vivado IP核生成以后,出来的仿真用.v文件

vlib work; 在sim.do文件夹目录下在创建一个work文件夹

5.打开modelsim窗口

在gui界面输入

468b094c-928d-11ee-939d-92fbcf53809c.png

cd {sim.do文件路径}

{}中的内容就是sim.do文件的路径,绝对路径。

例如

cd {E:cpri_ctrl_topsim}

输入完成以后,直接输入 do sim.do 指令

即可






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119373
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101
  • MODELSIM仿真
    +关注

    关注

    0

    文章

    15

    浏览量

    7237

原文标题:单独使用modelsim仿真xilinx

文章出处:【微信号:pdh的FPGA,微信公众号:pdh的FPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    安富利GSM给您说说ModelSim仿真XILINX库添加

    本帖最后由 diangongshi 于 2012-2-24 21:42 编辑 盖住了版本号,为的是告诉大家,这个各个版本通用。在使用ModelSim软件对Xilinx ISE进行后仿真时,需要
    发表于 02-24 21:40

    Xilinx ISE 12.2 调用Modelsim进行行为仿真详解

    本帖最后由 eehome 于 2013-1-5 09:54 编辑 Xilinx ISE 12.2 调用Modelsim进行行为仿真详解
    发表于 03-05 16:05

    modelsim 加载xilinx库问题

    ModelSim工程文件目录中,并将*.v中模块名称改为我自己工程文件中调用的模块名。4、将ModelSim跟目录下的modelsim.ini文件的只读属性去掉。5、将compxlib文件所在目录(即
    发表于 05-15 19:02

    Altera和Xilinx Modelsim仿真

    Altera和Xilinx Modelsim仿真库Altera和Xilinx Modelsim仿真
    发表于 08-10 18:31

    Modelsim 仿真出错 “Module 'IBUFG' is not defined”

    ' is not defined”错误,不知道到底是什么问题?使用的 Modelsim 版本是:Modelsim SE 10.2Xilinx 仿真库已经编译过了,而且已经加载到了
    发表于 01-26 23:17

    FIR在单独modelsim仿真

    quartus和modelsim联合仿真容易出现问题,所以一般单独modelsim仿真,附件是mode
    发表于 02-17 20:21

    MODELSIM仿真XILINX工程

    本帖最后由 lee_st 于 2017-10-31 09:24 编辑 在MODELSIM仿真XILINX工程
    发表于 10-30 17:06

    MODELSIM仿真XILINX工程

    MODELSIM仿真XILINX工程
    发表于 01-24 17:25

    ModelSim中添加xilinx仿真

    ModelSim中添加xilinx仿真库的具体步骤
    发表于 09-12 14:58

    MODELSIM仿真(适合xilinx ISE)

    基于Xilinx ISE的modelsim仿真教程
    发表于 11-30 15:52 8次下载

    减少Xilinx Ise与Modelsim联合仿真的错误方法

    我们经常使用Xilinx Ise与Modelsim联合仿真,但是经常出现一些由于库没有编译而出现的错误!下面是我总结的方法:
    发表于 02-11 13:43 1371次阅读
    减少<b class='flag-5'>Xilinx</b> Ise与<b class='flag-5'>Modelsim</b>联合<b class='flag-5'>仿真</b>的错误方法

    Xilinx ISE是如何调用ModelSim进行仿真

    在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形---
    发表于 02-11 15:25 1w次阅读
    <b class='flag-5'>Xilinx</b> ISE是如何调用<b class='flag-5'>ModelSim</b>进行<b class='flag-5'>仿真</b>的

    Modelsim仿真教程Modelsim的基础入门基础教程免费下载

    笔者一直以来都在纠结,自己是否要为仿真编辑相关的教程呢?一般而言,Modelsim等价仿真已经成为大众的常识,但是学习仿真是否学习Modelsim
    发表于 04-30 18:24 23次下载
    <b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>教程<b class='flag-5'>Modelsim</b>的基础入门基础教程免费下载

    基于ModelSim使用四ModelSim手动仿真教程

    4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simula
    的头像 发表于 07-23 11:10 3579次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Models
    的头像 发表于 07-24 09:04 2001次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>