0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

SystemVerilog中对于process的多种控制方式

工程师邓生 来源:杰瑞IC验证 作者:老黄鸭 2022-09-14 10:27 次阅读

Hello everybody,我们接着上期的Process(1)-产生进程的方式(点击跳转)继续讲解SystemVerilog中对于process的多种控制方式。

本期主要给大家讲解 named block、wait_order、wait_fork、disable,还有SystemVerilog中的内建类:process类。

1

Namedblock

Block,也就是语句块,SystemVerilog提供了两种类型的语句块,分别是begin…end为代表的顺序语句块,还有以fork…join为代表的并发语句块。

这两种block都是工程项目中常用的block,但是,大家可能都不知道block也是可以命名的,就像我们每个人的名字一样,名字是我们每个人的一个标识。 通过这个标识,我们可以访问block中的变量、parameter等。

4dc8e81e-337a-11ed-ba43-dac502259ad0.png

图1

图1中,initial begin…end中有两个named block,分别为顺序执行的block_a和并发执行的block_b。

我们可以通过在block的开头和结束编写上标识名,也可以只在开头进行编写;

如果是在block的开头和结束都有对应的标识名,则这两标识名必须相同,否则会编译报错。

4ded47c2-337a-11ed-ba43-dac502259ad0.png

图2

从图1和图2中我们还可以看到,int类型的变量和parameter的作用范围都是在各自block范围内的。

所以,我们要记住,如果需要访问block中的变量或者parameter,则需要给block进行命名,并且,block中的变量、parameter都是相互独立的。

2

wait_order、wait fork

SystemVerilog提供了两大类process的控制方式,分别为wait和disable。

wait中又包含了三小类:wait、wait_order、wait fork。

其中,wait相对简单,在这就不说了,黄鸭哥只介绍后两种。

那么,什么是wait_order?

总结一句:wait_order会阻塞等待多个事件的触发,并且要求这几个事件间发生的顺序和设置的一致。

这句话该怎么理解呢?我们来看下例子:

4e0e15ce-337a-11ed-ba43-dac502259ad0.png

图3

例子中包含3个event,3个event分别在3个并发进程中进行触发,event_1在第50个timeunit被触发,event_2在第30个timeunit被触发,event_3在第100个timeunit的时候被触发。

wait_order需要阻塞到3个事件依次按照event_2、event_1、event_3的顺序进行触发后才能往下执行。这就是wait_order的用法。

wait中另外一个重要的用法是wait fork,关于它的用法,总结了三句话:

① wait fork会引起调用进程阻塞,直到它的所有子进程结束;

② wait fork的目的是用来确保所有子进程执行结束;

③ wait fork作用父进程下的子进程,而不包括子进程下的子进程。


4e1da192-337a-11ed-ba43-dac502259ad0.png

图4

图4中initial语句块包含4个子进程,proc_1~proc_4。

其中,proc_4子进程中还含有一个子进程proc_4_1,此进程就是initial语句块的子进程的子进程。

按照上面黄鸭哥总结的三点来看,wait fork应该只需要等待到第2个子进程:proc_2执行结束,因为wait fork只会作用到子进程,不会作用到子进程的子进程(不论是fork…join,fork…join_any还是fork…join_none产生的进程)。 我们来看下仿真结果验证下我们的理论:

4e4399b0-337a-11ed-ba43-dac502259ad0.png

图5 果然,wait fork只等待到proc_2,在第200个timeunit就打印出了“wait fork finish”。

3

disable 、disable fork

上面说完了wait,我们接着说SystemVerilog中第二大类的进程控制方式:disable。

disable语句常用的多种方式包括:disable named_block、disable task_name和disable fork等,调用disable语句将会终止指定的进程。 4e88e8d0-337a-11ed-ba43-dac502259ad0.png

图6 图6里调用了disable,终止了对应的带标识名的block,从仿真截图中可以看出,block_1并没有正常结束,而是中途被终止了。

4eabf8fc-337a-11ed-ba43-dac502259ad0.png

图7

上图中disable proc_a相当于return,它会直接终止当前的task。 而关于disable fork,这个是我们工程项目中使用的最多的一类,也是最容易踩坑的一类,disable fork的用法我推荐大家学习下Q哥的一篇文章:disable fork,你真的会用吗?

感兴趣的同学可以点进去看看,他在里面有很详细的描述,黄鸭哥在这里就不多说了。

4

内建类:process

SystemVerilog中内建了一种class,可以对进程进行访问和控制,此种class就是process,我们先来看下process类的原型:

4ebd6cb8-337a-11ed-ba43-dac502259ad0.png

图8 process类中定义了一个枚举变量state,表示当前进程的几种执行状态:FINISHED,RUNNING,WAITING,SUSPENDED,KILLED。 另外,还声明了几种task和function,我们通过下面的表格来简单了解下:

Method Describe
self() 获取当前进程的句柄,process类不能主动的通过new来进行创建,只能通过initial…begin…end,final…begin…end,4个always procedure,3个fork procedure和dynamic process来产生
status() 获取当前进程的状态: FINISH: 该进程已经结束了 RUNNING: 该进程正在运行 WAITING: 该进程等待阻塞条件 SUSPENDED:该进程停止了,等待resume,当前状态只能通过调用process类中的resume函数来解除 KILLED: 该进程被强制终止了
kill() 终止该进程以及该进程的子进程
await() 等待其他进程结束,不能在该进程中,调用该方法,只能是别的进程调用本进程的await()来阻塞本进程
suspend() 挂起该进程
resume() 恢复该进程
srandom(int seed) 设置进程的随机种子


表1 有人会问了,这么多的method,我们都该记住吗? 黄鸭哥觉得不是的,只需要记住这里面常用的几个method:self(),status(),kill()就可以了,我们最后再来看个例子,加深下理解:

4ed8f000-337a-11ed-ba43-dac502259ad0.png

图9

4f073ff0-337a-11ed-ba43-dac502259ad0.png

图10

图9展示了这三个method的用法,通过self()获取进程的句柄,然后传递给定义的两个process类;

调用status()可以获取到process_1和process_2进程的执行状态,在100个timeunit的时候,process_1已经执行结束,而process_2则处于阻塞状态;

当再次经过100个timeunit时,调用kill()终止了process_2的继续执行,从这里可以看出,要终止一个进程,除了disable、disable fork之外,还可以调用process.kill()。

总结

process就讲到这了,我们再回顾下今天的要点:

1、block可以进行命名,命名之后就可以通过标识名访问block内部的变量和parameter,还可以通过disable named_block终止此block。

2、进程的两大控制方式:wait、disable。

3、SystemVerilog内建类,process类,可以通过process类访问进程和控制进程。

4、三种终止进程的方式:disable、disable fork、process.kill()。



审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真器
    +关注

    关注

    14

    文章

    988

    浏览量

    82989
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109298

原文标题:SystemVerilog中的Process--- 进程的控制

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    分享一些SystemVerilog的coding guideline

    本文分享一些SystemVerilog的coding guideline。
    的头像 发表于 11-22 09:17 316次阅读
    分享一些<b class='flag-5'>SystemVerilog</b>的coding  guideline

    简述控制器的多种工作模式

    引言:和降压控制器类似,升压控制器也有多种工作模式,在中/重负载模式工作时,控制器以固定频率连续规律工作,在轻载模式下则有多种模式可选,本节
    的头像 发表于 10-26 14:41 1091次阅读
    简述<b class='flag-5'>控制</b>器的<b class='flag-5'>多种</b>工作模式

    SystemVerilog相比于Verilog的优势

    我们再从对可综合代码的支持角度看看SystemVerilog相比于Verilog的优势。针对硬件设计,SystemVerilog引入了三种进程always_ff,always_comb
    的头像 发表于 10-26 10:05 363次阅读
    <b class='flag-5'>SystemVerilog</b>相比于Verilog的优势

    systemverilog:logic比reg更有优势

    systemverilog协议中,logic定义四态值,即向量(vector)的每个位(bit)可以是逻辑0, 1, Z或X,与verilog协议中的reg很接近。但是logic有个很明显的优势,不允许多驱动。
    的头像 发表于 10-26 09:32 426次阅读
    <b class='flag-5'>systemverilog</b>:logic比reg更有优势

    SystemVerilog在硬件设计部分有哪些优势

    谈到SystemVerilog,很多工程师都认为SystemVerilog仅仅是一门验证语言,事实上不只如此。传统的Verilog和VHDL被称为HDL(Hardware Description
    的头像 发表于 10-19 11:19 447次阅读
    <b class='flag-5'>SystemVerilog</b>在硬件设计部分有哪些优势

    SystemVerilog中的联合(union)介绍

    SystemVerilog 中,联合只是信号,可通过不同名称和纵横比来加以引用。
    的头像 发表于 10-08 15:45 644次阅读
    <b class='flag-5'>SystemVerilog</b>中的联合(union)介绍

    SystemVerilog的随机约束方法

    上一篇文章《暗藏玄机的SV随机化》介绍了SystemVerilog的各种随机化方法,本文将在其基础上引入SystemVerilog的随机约束方法(constraints)。通过使用随机约束,我们可以将随机限制在一定的空间内,有针对性地提高功能覆盖率。
    的头像 发表于 09-24 12:15 473次阅读

    电力测功机的控制方式有哪些?

      电力测功机的控制方式又哪些?杭州长牛告诉你:电力测功机的控制方式有很多种,其中常见的包括电位器控制
    的头像 发表于 09-07 10:51 310次阅读

    verilog/systemverilog中隐藏的初始化说明

    在Verilog和SystemVerilog中经常需要在使用变量或者线网之前,期望变量和线网有对应的初始值
    的头像 发表于 08-25 09:47 617次阅读
    verilog/<b class='flag-5'>systemverilog</b>中隐藏的初始化说明

    SystemVerilog里的regions以及events的调度

    本文讲一下SystemVerilog的time slot里的regions以及events的调度。SystemVerilog语言是根据离散事件执行模型定义的,由events驱动。
    的头像 发表于 07-12 11:20 836次阅读
    <b class='flag-5'>SystemVerilog</b>里的regions以及events的调度

    SystemVerilog的覆盖率建模方式

    为了确保验证的完备性,我们需要量化验证目标。SystemVerilog提供了一套丰富的覆盖率建模方式
    的头像 发表于 06-25 10:44 568次阅读

    Systemverilog中的Driving Strength讲解

    systemverilog中,net用于对电路中连线进行建模,driving strength(驱动强度)可以让net变量值的建模更加精确。
    的头像 发表于 06-14 15:50 818次阅读
    <b class='flag-5'>Systemverilog</b>中的Driving Strength讲解

    带你了解SystemVerilog中的关联数组

    SystemVerilog中,我们知道可以使用动态数组实现数组元素个数的动态分配,即随用随分
    的头像 发表于 06-09 09:46 4680次阅读
    带你了解<b class='flag-5'>SystemVerilog</b>中的关联数组

    数字硬件建模SystemVerilog之Interface和modport介绍

    SystemVerilog Interface是modport的一种,但比简单的输入、输出或输入输出端口的功能更多。
    的头像 发表于 04-28 14:12 2080次阅读
    数字硬件建模<b class='flag-5'>SystemVerilog</b>之Interface和modport介绍

    数字硬件建模SystemVerilog之Interface方法概述

    SystemVerilog Interface是modport的一种,但比简单的输入、输出或输入输出端口的功能更多。
    的头像 发表于 04-28 14:10 1311次阅读
    数字硬件建模<b class='flag-5'>SystemVerilog</b>之Interface方法概述