0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>嵌入式技术>嵌入式操作系统>

嵌入式操作系统

电子发烧友网提供的嵌入式操作系统专栏,内容有嵌入式操作系统培圳资料以及应用知识等;是您学习嵌入式操作系统的好栏目。
3568F-Linux系统使用手册

3568F-Linux系统使用手册

3568F-Linux系统使用手册...

2024-04-16 标签:LinuxLinux系统创龙科技 323

YY3568 Debian11+RT-Thread混合内核部署

YY3568 Debian11+RT-Thread混合内核部署

RK 3568 Debian11+RT-Thread混合内核部署方案 方案: 3个核(Linux或Debian) + 1个核(RT-Thread) Debian-AMP工程 amp工程,下载链接: http://dd.youyeetoo.cn:5000/sharing/HhtpwagEr 登录下载链接,然后点击 “ 下载 ”...

2024-04-15 标签:内核RT-ThreadRK3568Debian 365

RK3568-Android应用开发手册
RK3568-Android操作系统演示案例

RK3568-Android操作系统演示案例

                                                                                                                                                                       ...

2024-01-22 标签:嵌入式Android操作系统Android操作系统创龙科技RK3568 1611

RK3568-Linux系统使用手册(二)

RK3568-Linux系统使用手册(二)

RK3568-Linux系统使用手册(二)...

2024-01-22 标签:Linux创龙科技RK3568 1119

RK3568-Linux系统使用手册(一)
Linux场景下数据包是如何在协议层传输的

Linux场景下数据包是如何在协议层传输的

所有互联网服务,均依赖于TCP/IP协议栈。懂得数据是如何在协议栈传输的,将会帮助你提升互联网程序的性能和解决TCP相关问题的能力。 我们讲述在Linux场景下数据包是如何在协议层传输的。...

2023-11-11 标签:互联网Linux网络接口数据包 766

C语言中section关键字的作用?其在SDK实现开机自启动的应用?

section主要作用是将函数或者变量放在指定段中,这样就可在指定的位置取出。...

2023-10-08 标签:C语言RT-Threadgcc编译器 671

如何进行AUTOSAR架构下的OS错误处理?

如何进行AUTOSAR架构下的OS错误处理?

OS的Error类型分为三类,Application Errors, Protection Errors, Kernel Errors, 每种Errors产生的原因及产生Error后OS执行的动作都不相同...

2023-10-07 标签:RAMSCRAUTOSARSBC易失性存储器 2529

STM32 TIMER+DMA输出PWM异常案例的问题解析

STM32 TIMER+DMA输出PWM异常案例的问题解析

有人使用STM32U575的TIMER加上DMA做PWM输出。具体就是利用某TIMER的一个通道的比较事件触发DMA,通过DMA修改CCR值来实现指定占空比的PWM输出。...

2023-09-28 标签:寄存器PWMSTM32定时器PWM输出HAL库 4109

AP AUTOSAR是如何定义和管理自适应应用程序的呢?

AP AUTOSAR是如何定义和管理自适应应用程序的呢?

AP AUTOSAR的核心是自适应应用程序(Adaptive Application),它是一种可以根据运行时环境动态调整的软件组件。...

2023-09-22 标签:处理器看门狗AUTOSARC++语言FIFO存储 976

Linux内存泄漏该如何去检测呢?

Linux内存泄漏该如何去检测呢?

mtrace(memory trace),是 GNU Glibc 自带的内存问题检测工具,它可以用来协助定位内存泄露问题。...

2023-09-21 标签:寄存器LINUX内核GNUcache技术gpl 666

如何通过以可忽略的性能开销提高QLC闪存的可靠性?

如何通过以可忽略的性能开销提高QLC闪存的可靠性?

本工作来自多伦多大学和谷歌Shehbaz Jaffer,发表于FAST 2022。为了解决下一代高密度闪存低耐久性带来的可靠性挑战,...

2023-09-21 标签:存储器二进制TLCECCqlc闪存 427

什么是DFX技术?DFX设计一定要执行设计规则检查吗?

什么是DFX技术?DFX设计一定要执行设计规则检查吗?

DFX(Dynamic Function eXchange)的前身是PR(部分可重配置,Partial Reconfiguration)。...

2023-09-21 标签:FPGAVHDL语言RTLDCPdfx设计 3030

如何在开始码代码的时候就考虑时序收敛的问题?

如何在开始码代码的时候就考虑时序收敛的问题?

硬件描述语言(verilog,systemVerilog,VHDL等)不同于软件语言(C,C++等)的一点就是,代码对应于硬件实现,不同的代码风格影响硬件的实现效果。...

2023-09-21 标签:寄存器计数器LUT时序收敛Verilog语言 653

使用普通的多路复用器进行切换时钟会发生什么?

使用普通的多路复用器进行切换时钟会发生什么?

在现代芯片中,芯片运行时有必要在两个不同的时钟之间切换。如果我们使用普通的多路复用器进行切换时钟会发生什么?...

2023-09-20 标签:时钟锁存器多路复用器触发器CLK时钟切换电路 927

JSF业务线程池的大小配置用例说明

JSF 业务线程池使用 JDK 的线程池技术,缺省情况下采用 Cached 模式(核心线程数 20,最大线程数 200)。此外,还提供了 Fixed 固定线程大小的模式,两种模式均可设置请求队列大小。...

2023-09-19 标签:SLAUSFTPSJSFJVM 518

在QEMU/aarch64上完成smart用户态应用的运行并使用VSCode进行调试

在QEMU/aarch64上完成smart用户态应用的运行并使用VSCode进行调试

这里注意如果是linux平台下需要先安装解压缩工具,下面为7zip的下载方式...

2023-09-18 标签:C++语言LINUX内核Ubuntu系统RTThreadgdb调试器 891

看看两个使用Verilog HDL设计的简单电路

看看两个使用Verilog HDL设计的简单电路

与非门的Verilog 描述如下图所示,源程序文件的后缀为.v。...

2023-09-17 标签:D触发器时序逻辑电路ModuleCLKVerilog语言 787

​ESP32-S3 ADC外设单次触发模式

​ESP32-S3 ADC外设单次触发模式

这个宏通常用于在条件为假时进行错误处理或跳转到特定的代码块。...

2023-09-15 标签:adcC语言分配器ESP触发器 929

怎么检查景芯SoC的低功耗power domain有没有错误?

bind 检查power domain的library binding情况,也就是检查是否所有的cell 都被link 到了对应的库上...

2023-09-15 标签:SoC芯片Cell 467

vscode+pyocd+daplink调试瑞萨ra6m3步骤

拿到了瑞萨的ra6m3的板子,发现使用RT-Thread Stduio下载速度很慢,就想着搭建一个vscode的环境试试。...

2023-09-14 标签:RTOSpythonRT-Threadgcc编译器gdb调试器 718

利用创新NoC技术驾驭复杂的片上系统(SoC)设计

几年前,包含一百万只晶体管的系统级芯片(SoC)还曾被认为是大型器件,而如今,集成多达10亿只晶体管的SoC已是常见。...

2023-09-14 标签:晶体管编解码器SoC芯片片上网络NoC 591

图像锐化的Sobel、Laplacian算子基础知识介绍

图像锐化的Sobel、Laplacian算子基础知识介绍

Sobel 算子是一种用于边缘检测的离散微分算子,它结合了高斯平滑和微分求导...

2023-09-13 标签:滤波器边缘检测拉普拉斯OpenCVpython 759

如何用Python实现Vivado和ModelSim仿真自动化?

如何用Python实现Vivado和ModelSim仿真自动化?

我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形...

2023-09-13 标签:二进制仿真仿真器TCLModelSimpythonVivadoMODELSIM仿真 748

到底该把喂狗任务优先级设置成最高还是最低好?

一个学员在学习 uCOS 系统过程中,对看门狗任务的优先级产生了疑惑,到底该把喂狗任务优先级设置成最高还是最低好?...

2023-09-13 标签:看门狗中断信号量ucos系统 557

适合嵌入式设备开发的编程语言—Rust语言

适合嵌入式设备开发的编程语言—Rust语言

Rust语言是二十一世纪的语言新星。Rust被人广泛承认的一点,就是因为它能运行在多样的目标上,从桌面和服务器设备,到资源有限的嵌入式设备。...

2023-09-12 标签:C语言编程语言嵌入式设备裸机rust语言 1539

ARM处理器中控制MMU功能的过程

ARM处理器中控制MMU功能的过程

MMU是Memory Manage Unit的缩写,即存储管理单元的意思。...

2023-09-11 标签:控制器寄存器ARM处理器TLB电路MMU 497

如何在KVM上使用CCA RME虚拟机?

如何在KVM上使用CCA RME虚拟机?

之前介绍了CCA设计的一个目的是让hypervisor可以创建和调度RME虚拟机和vCPU,并且给它分配内存等资源,但是一旦这些资源分配给RME虚拟机之后,hypervisor就失去了访问这些RME所有的内存内容的权限...

2023-09-11 标签:寄存器ARM处理器虚拟机LINUX内核RTThread 757

如何实现一种基于Android系统的“两票生成”App设计?

如何实现一种基于Android系统的“两票生成”App设计?

供电企业的日常作业往往涉及很多电气设备开关、刀闸,其操作逻辑、运行标准各不相同,时常会发生各种因逻辑操作顺序和关键设备编号错误、操作项目跳项、将错就错等造成的人身、设备事...

2023-09-11 标签:智能手机Android系统状态机 475

编辑推荐厂商产品技术软件/工具OS/语言教程专题