电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>Adam Taylor玩转MicroZed系列68:AXI DMA Ⅲ,软件部分

Adam Taylor玩转MicroZed系列68:AXI DMA Ⅲ,软件部分

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

ZYNQ SOC案例开发:AXI DMA使用解析及环路测试

一、AXI DMA介绍 本篇博文讲述AXI DMA的一些使用总结,硬件IP子系统搭建与SDK C代码封装参考米联客ZYNQ教程。若想让ZYNQ的PS与PL两部分高速数据传输,需要利用PS的HP
2020-12-31 09:52:027166

8051单片机 软件部分

本帖最后由 eehome 于 2013-1-5 09:52 编辑 二、软件部分1、能用C语言开发就用C语言,这样开发速度比较快,而且代码也比较容易维护。2、将你常用的芯片的服务编成函数库,这样
2011-12-31 21:49:47

AXI-DMA怎么批量发送数据到DMA

利用AXI-DMA批量发送数据到DMAinit_intr_sys函数分析
2021-03-10 06:57:39

MicroZed JTAG频率问题

大家好!我是一名学生,与我的合作伙伴一起在MicroZed板上开展项目。我们正在使用Vivado 2015.4,Xilinix SDK 2015.4和Digilent USB-JTAG编程电缆(我们
2019-10-22 08:47:02

axi dma停止错误

大家好, 我通过dma tx通道(AXI DMA0)将数据发送到fpga。几秒钟后,我希望通过DMA rx通道接收某些字节。执行下面的代码后(请参考CODE1 :)我正在检查tx通道的第1位状态
2020-04-14 07:31:36

玩转Zynq连载34——[ex54] 基于Zynq的AXI GP总线的从机接口设计

介绍参考文档《玩转Zynq-基础篇:AXI总线协议介绍.pdf》。3 AXI GP外设配置在zstar_ex53实例的基础上,需要对ZYNQ7Processing System(PS)配置页面做更改
2019-11-12 10:23:42

玩转Zynq连载37——[ex56] 基于Zynq的AXI HP总线读写实例

axi_hp0_wr.v模块的仿真波形如下。其中一次AXI HP0总线写地址和数据的时序波形如图所示。6嵌入式软件工程创建参考文档《玩转Zynq-工具篇:导出PS硬件配置和新建SDK工程.pdf》导出
2019-11-26 09:47:20

玩转Zynq连载38——[ex57] Zynq AXI HP总线带宽测试

不同通道使用情况下的数据吞吐量。大家可以在此基础上,更改不同的AXI HP总线时钟频率,以评估时钟频率对AXI HP总线的影响。2 AXI总线协议介绍参考文档《玩转Zynq-基础篇:AXI总线协议介绍
2019-11-28 10:11:38

玩转Zynq连载3——AXI总线协议介绍1

● 基于特定地址进行的突发传输●通过独立的读和写通道实现低成本直接内存访问(DMA)●支持无序数据传输●提供多级寄存器锁存的支持,实现更好的时序收敛 1.1 AXI版本介绍AXI协议是Xilinx从6系列
2019-05-06 16:55:32

IP总线和AXI64总线会被DMA用来写OCRAM中的sample吗?

完成时触发 DMA。当 DMA 已存储 256 个样本时,将触发软件中断以允许将这些缓冲区传输到更大的缓冲区。当 10 个 DMA 缓冲区被接收时,DMA 中断调用一个函数。该函数每 8.5 毫秒执行
2023-04-04 07:09:50

XADC和AXI4Lite接口:定制AXI引脚

你好,我有一个关于XADC及其AXI4Lite接口输入的问题。我想在Microzed 7020主板上测试XADC,在通过AXI4Lite接口将Zynq PL连接到XADC向导(参见第一个附件)之后
2018-11-01 16:07:36

ZYNQ中不同应用的DMA总结

不同类型的DMAHigh performance w/DMA几种DMA的总结ZYNQ中不同应用的DMA几个常用的 AXI 接口 IP 的功能(上面已经提到):AXI-DMA:实现从 PS 内存
2022-03-31 11:39:10

Zybo dev如何创建一个自定义平台

/Adam-Taylor-s-MicroZed-Chronicles-Part-108-Creating-our-)中描述的方法进行操作。硬件/ ba-p / 665756)但我无法弄清楚HSI是什么或如何打开SDSoC提示。我只需要一个可以在SDSoC设计中使用的ADC。必须有一个更容易/更好的方法来做到这一点,但我会接受任何有效的方法!请帮忙。
2020-05-25 08:18:59

介绍一下STM32F103系列的时钟树

如何读STM32F103系列的时钟树?怎样去设计STM32F103系列时钟树的软件部分
2021-09-24 09:08:38

哪位大神玩转了STM8S系列的开发板,不用了可以转手给我

想买个二手STM8S系列开发板,已经玩转了的希望转手给我,价格请加Q1922234601详谈。
2016-08-12 15:23:27

基于K128单片机的红外对管智能车软件部分包括哪些呢

基于K128单片机的红外对管飞思卡尔智能车(5个对管)软件部分包括:出库直行模块大,小弯道环岛s弯停车#include "headfile.h"#include "
2022-03-02 07:42:17

如何玩转STM32-F429系列

如何玩转STM32-F429系列
2021-10-13 06:45:39

如何玩转STM32-F429系列控制器?

如何玩转STM32-F429系列控制器?
2021-11-12 06:06:38

如何使用Zedboard运行reVision堆栈?

/Adam-Taylor-s-MicroZed-Chronicles-Part-180-All-about-the-Xilinx/ba-p/756988但该芯片自带板,Zynq 7020属于Zynq家族。是否可以在电路板上使用它?如果没有,支持reVision的官方(Xilinx / AVNet / ...)主板的最低成本是多少?筹码怎么样?
2019-10-10 06:25:58

如何加载软件部分

你好,我正在使用SP605和XPS。在EDK中,我可以创建.mcs并使用冲击将其加载到bpi-flash。但我想知道如何加载软件部分。我尝试使用SDK的“flash程序”选项,但它不起作用。错误
2019-07-02 15:22:37

如何在ZedBoard中使用AXI DMA核心

你好最近我一直在研究如何在ZedBoard中使用AXI_DMA核心。我正在使用s2mm通道以分散聚集模式将数据传输到DRAM。框图如下图所示(基于Mohammadsadegh Sadri Zynq
2019-04-09 11:53:57

如何零死角玩转STM32-F429系列

如何零死角玩转STM32-F429系列
2021-10-13 08:47:02

如何零死角玩转STM32-F429系列

如何零死角玩转STM32-F429系列
2021-10-12 07:43:14

开发基于STM32H747XIH6 MCU的电路板何时在CubeIDE的附加软件部分添加TouchGFX支持?

我正在开发基于 STM32H747XIH6 MCU 的电路板。何时在 CubeIDE 的附加软件部分添加 TouchGFX 支持?
2022-12-29 08:09:50

求大神!基于STM32板用MAX30100测血氧,软件部分有大神懂吗?帮帮忙!!!

基于STM32板用MAX30100测血氧,软件部分现在还不知道怎么弄,有大神能帮帮忙吗,做毕设用的这个现在还是没搞懂,要疯了
2017-05-28 09:33:57

没有在AXI_DMA Rx端接收到正确的数据

嗨,我正在使用Zedboard来测试我们的设计。例如,我们正在尝试使用AXI_DMA,带有AXI接口的FIFO,带有AXI接口的自定义逻辑来建立设计。我们正在尝试执行简单的环回测试。当我们进行此测试
2019-03-08 13:57:18

电压采样软件部分的实现

请问一下各位,我们设计电源时,有电压采样过程,采样电压与设置好的值相比较,再根据比较值调节PWM,控制开关管的通断,完成对输出电压的调节,请问这部分软件该如何编写、实现呢?我们采用的是S12单片机 ,拜托了
2012-11-09 12:27:54

请问485接口换成232接口后软件部分的重新编写吗?

求前辈指示我在仿真里把485接口换成232接口后 软件部分需要重新编写吗该怎么改呢
2020-03-25 05:22:09

请问S_AXI端口是否遵循AXI_Lite协议?

嗨,我在Vivado 2016.3模块设计中集成了PCIe DMA BAR0 AXI Lite接口和AXI IIC IP。在DMA IP端,它显示S_AXI_Lite端口,但在AXI_IIC IP端
2020-05-14 09:09:35

请问怎样去设计一种Ultra DMA数据传输系统?

怎样去设计Ultra DMA数据传输系统的硬件部分?怎样去设计Ultra DMA数据传输系统的软件部分
2021-05-26 06:36:35

产品软件部分说明

Omate工业以太网交换机产品软件部分说明
2016-12-23 02:21:120

交换机产品软件部分说明

交换机产品软件部分说明
2016-12-27 16:55:200

Adam Taylor玩转Microzed系列第81部分

By Adam Taylor 到目前为止的文章中,我们已经研究了MicroZed开发板上使用以太网的数据传输问题。我们还没有涉及片上外设通信的问题:实时时钟,非易失内存以及独特的传感器。这些通信涉及到I2C或者SPI总线。
2017-01-13 11:07:11748

Adam Taylor玩转MicroZed系列第80部分

Adam Taylor玩转MicroZed系列的前期部分中,我们介绍了IP栈的概念。(见Adam Taylor玩转MicroZed系列第79部分:Zynq SoC以太网第3部分)接下来就是在我们的设计中使用该协议栈了。SDK开发环境允许我们创建BSP的时候包含一个轻量级的IP栈(lwIP)。
2017-01-13 11:17:111033

Adam Taylor玩转MicroZed系列,第79部分

By Adam Taylor 在本系列博客的前两部分中,我们研究了带有Zynq SoC PS(处理器系统)的以太网MAC(介质访问控制层),包括深入探讨了一个MAC使用范例。以太网MAC是一个基础的构建模块,它允许我们实现一个IP栈,然后因此给我们的工程创建联网条件。
2017-01-13 11:24:11669

Adam Taylor玩转MicroZed系列第76部分:关联布局宏的约束

By Adam Taylor 在约束系列的最后,我们讲讲关联布局宏(RPM)的约束。RPM允许你在FPGA的布局中将DSP、FF、LUT和RAMS等资源组合在一起。与PBlocks不同,RPM并不
2017-11-10 14:49:02748

Adam Taylor玩转MicroZed系列73:用其他的Zynq

By Adam Taylor 在过去一周中,我接到了很多不同人的来信,他们正在使用以Zynq为基础的开发工具。他们非常想知道怎么样去把MicroZed系列博客教程应用到他们所选择的硬件平台上。加上
2017-02-08 02:12:49426

Adam Taylor玩转MicroZed系列74:物理约束

研究了相关的时序约束后,在设计中我们也不能忽视所能运用到的物理约束。一个工程师最常用的物理约束是I/O管脚的放置和与每个I/O脚相关的参数定义(标准、驱动能力等)。然而,还有其它类型的物理约束: •放置约束——定义元件位置 •布线约束——定义信号布线 •I/O脚约束——定义I/O脚位置和I/O脚参数 •配置约束——定义配置方法 按照惯例,有一些约束独立于这些组之外。Vivado套件有三个约束,并且主要用于网表: •DONT_TOUCH——用来防止
2017-02-08 02:20:11206

Adam Taylor玩转MicroZed系列,第75部分:放置约束

By Adam Taylor 在先前的博客中我们研究过I/O约束,下一个合乎逻辑的步骤就是研究如何在我们的设计中用FPGA进行放置和布线约束。使用放置约束的原因如下:为了帮助实现时序,或者
2017-02-08 02:22:11238

MicroZed开发板笔记,第72部分:多周期约束

By Adam Taylor 在最近的几篇博客中,我们研究了基本的时序约束。那么在设计中我们现在应该能定义时钟了,并且可以创建和声明它们的关系,还应该能在时钟和系统中声明任何缺陷。作为系统设计工
2017-02-08 03:13:11256

MicroZed开发板笔记,第70部分:约束

By Adam Taylor 在之前的博客中介绍了Vivado的基本时序约束,时序约束定义了系统频率或自己所定义的时钟频率。为建立良好的时序约束,下一步是需要建立时钟路径之间关系的定义。这样
2017-02-08 03:46:35194

Adam Taylor玩转MicroZed系列69:关于Zynq的约束简介

。最简单的约束例子就是对操作时钟和引脚的约束。另外一种类型的约束可以实现设计所用逻辑所在的位置。 实现AXI DMA核的资源原来为粉红色部分 约束可以分为两部分:那些用于综合和实现部分的例如时序约束和仅在实现部分有效的例如管脚约
2017-02-08 03:58:43645

Adam Taylor玩转MicroZed系列67:AXI DMA II

上周的博客中我们学习了Zynq SoC的AXI DMA,我解释了怎样利用AXI DMA控制器将数据从PL搬运到PS。在本期博客中我们将学习怎样完成硬件的搭建。 首先我们要更深入的了解一下AXI
2017-02-08 08:10:39286

Adam Taylor玩转MicroZed系列66:AXI DMA

在我最新一期发表的博客中介绍了如何通过Zynq PS(处理器系统)内部的AXI总线接口寻址Zynq SoC的XADC模块以及如何调试与分析你的应用程序。但是我们仍然没有看到Zynq SoC的一个非常
2017-02-08 08:14:11153

Adam Taylor玩转MicroZed系列64:Zynq应用分析

在本系列上一篇博客中,我们学习了解了使用XMD和XSDB来调试我们的应用和系统。然而为了确保我们的应用在性能上是优化的,另一个非常重要的方面就是对应用程序进行详细分析。 分析不同于调试,就分析功能
2017-02-08 09:53:00130

Adam Tayor玩转MicroZed系列65:Zynq 应用分析 II

在此系列博客的前面几期中,我们已经可以运行示例应用并获得用于分析的程序运行数据。运行分析器可以生成一个gmon.out格式的文件,它包含了分析数据。当应用程序运行自然结束或者通过SDK来终止应用程序
2017-02-08 09:56:49180

Adam Taylor玩转MicroZed系列63:调试Zynq应用程序

在上一篇的MicroZed系列博客中,我们学习了两种与XADC进行通信的方法:Zynq SoC 的AXI或者DevC接口。通过在每个驱动程序中输出XADC的基地址,我演示了这两种XADC通信方法
2017-02-08 09:58:42221

Adam Taylor玩转MicroZed系列62:对Zynq XADC问题的回答

讨论,这个问题解决之后我们将回来继续学习PicoBlaze。 前面的博客中提到,有多种方式可以实现XADC与Zynq之间的交互,除了JTAG接口: 1.我们可以借助DevC接口实现XADC与PS(处理器系统)之间的直接交互,使用这种方式时,Zynq SoC的PL(可编程逻辑)部分不需要进行配置。然
2017-02-08 10:04:11242

Adam Taylor玩转MicroZed系列61:PicoBlaze第六讲

前面的几篇博客中,我们通过介绍怎样驱动CCD的一些知识了解了PicoBlaze的一些特点,同时也知道了通过Zynq PS(处理器系统)可是实现PicoBlaze的动态可重配置,我觉得在这次博客当中,我应该向大家介绍一下根据CCD的数据说明书怎样怎样创建生成我们第一个驱动CCD的波形信号。 尽管在这次设计中我们要使用两个PicoBlaze处理器,但是在这个例子当中只需要使用其中一个,因为只有四个图像时钟和四个寄存器时钟,采用一个PicoBlaze处理器就足以满足需求了。
2017-02-08 11:11:37134

Adam Taylor玩转MicroZed系列60:Zynq与PicoBlaze第五章:控制CCD(一种图像传感器)

作者:Adam Taylor 在上一篇博客中我们已经知道了如何动态更新PicoBlaze的运行程序,现在我们要学习一个完成的设计应用。一个非常相关的应用就是驱动CCD(电荷耦合元件)图像传感器,因为
2017-02-08 12:31:33144

Adam Taylor玩转MicroZed系列54:在Zynq SoC上玩转PetaLinux

正如我上周所讲,Petalinux是Xilinx针对Zynq SoC提供的Linux官方版本。为了攫取该版本最大资源,我们需要创建自己的版本。这就需要我们在Linux环境下进行开发。现在,并不是所有人都在Linux系统环境下进行开发,然而弄一台新机器又觉得既浪费时间和浪费金钱。因此,我将用一个虚拟机来提供这个环境。我之前采取过类似的方法来使用CERN自由过滤器设计工具,一直使用的不错哦! 我决定使用Oracle VM Virtual Box虚拟机并且创建一个Ubuntu 操作系统。这个非常简
2017-02-08 13:58:08164

Adam Taylor玩转MicroZed系列55:在Zynq SoC上运行Linux操作系统

SDK下载并且安装到我们的虚拟机中。因为我们需要这些工具对Zynq SoC进行硬件定制同时构建软件开发环境。 接下来我们按照下面步骤进行: 1. 按照我们的要求新建一个Zynq 硬件系统,确保我们有下面这些外设: •UART(必须的) •SD 卡配置(可选) •以太网(可选) •
2017-02-08 13:58:11300

Adam Taylor玩转MicroZed系列52:一年15万访问量,奖励大家一个pdf文档

我必须承认这是一篇我从来不希望要写的博客。当我开始写玩转MicroZed时,我还不确定每周一篇写到52篇。达到这样的里程碑并拥有150,000的浏览量,我想回顾过去的一年在Zynq SoC上涵盖
2017-02-08 15:35:37108

Adam Taylor玩转MicroZed系列50:AMP(非对称多进程处理模式)和Zynq SoC的OCM(片上存储器)

作者:Adam Taylor 在上一篇博客中我们了解了Zynq SoC的OCM(片上存储器) ,利用它可以实现在AMP模式下内部处理器内核之间的通信。现在我们将写一些程序代码将这个设备(OCM)利用
2017-02-08 15:38:12606

Adam Taylor玩转MicroZed系列53:聊聊Linux 和 SMP

作者:Adam Taylor 在最近的几篇博客中,我们花了主要精力讲解操作系统和AMP(非对称多进程处理),接下来我们希望看到Linux系统在microzed板上运行。我们目前还没有讨论
2017-02-08 15:42:12529

Adam Taylor玩转MicroZed系列51:中断和AMP

作者:Adam Taylor 上篇博客中我们看到了在ZynqSoC的两块ARM Cortex-A9 MPCore处理器之间共享数据。我提到方法可以改进——使得更加高效——我们可以使用软件中断来进行
2017-02-08 15:47:34222

Adam TaylorMicroZed教程第40章:MicroZed操作系统第二部分

介绍完操作系统后我将会在Zynq SoC上演示,我打算首先在MicroZed上实现的操作系统就是Micrium公司的uC/OSiii。这是一个硬式实时操作系统,可以点击这里下载。 该OS已经用于大量
2017-02-08 18:26:11149

Adam Taylor玩转MicroZed系列42:MicroZed操作系统第4部分

最近的几篇关于MicroZed系列的博客中我们介绍并了解了RTOS(实时操作系统)的概念,既然已经介绍了基本知识,是时候在MicroZed开发板上实现运行我们的第一个操作系统。我们将使
2017-02-08 18:27:06323

Adam Taylor玩转MicroZed系列41:MicroZed操作系统第三部分

作者:Steve Leibson, 赛灵思战略营销与业务规划总监 在我前面的一篇博客(查看Adam Taylor玩转MicroZed系列40:MicroZed操作系统第二部分)中,大家已经见识
2017-02-08 18:27:11202

Adam Taylor玩转MicroZed系列43:使用XADC,Alarms和中断

我本来打算在这篇博客中继续介绍探讨运行于Zynq SoC上的操作系统。然而由于上周有人提问过一些关于Zynq SoC外设XADC,中断和alarms的问题,我认为我们应该快速的了解一下以及我们怎样将它们加入到系统设计中。 Zynq SoC具有检测供应电压和片上工作温度的能力,这看起来非常有趣 。我们可以利用这个功能在系统测试期间验证初始供应电压和工作温度。在我们的设计的整个测试和运行期间,我们可以定期的检查确保这些参数保持在规定的运行范围内。在
2017-02-08 18:30:02312

Adam Taylor玩转MicroZed系列34:使用MicroZed驱动Adafruit RGB NeoPixel LED阵列第5部分

在这期博客前面的几期,我们介绍了驱动Adafruit Neopixels设计实例的解决方案架构。我们使用Vivado方块图设计这个解决方案(具体可以查看Adam Taylor玩转MicroZed系列
2017-02-08 19:05:11281

亚当泰勒玩转MicroZed连载37:用MicroZed驱动Adafruit RGB NeoPixel LED阵列(第8节)

作者:Steve Leibson, 赛灵思战略营销与业务规划总监 By Adam Taylor 我们采用基于Zynq的MicroZed板来实现Adafruit NeoPixel驱动器,前后花了
2017-02-08 19:06:11152

Adam Taylor玩转MicroZed系列39:MicroZed操作系统第1部分

到目前为止,我们已经从Zynq/MicroZed系列博客中看到了很多设计的例子,但是这些设计都没有使用到操作系统。裸板系统对于目前我们博客中涉及到的例子已经足够满足设计要求了,但是如果我们想使用更加
2017-02-08 19:09:11111

Adam Taylor玩转MicroZed系列29:MicroZed I/O扩展板卡

最近我拿到了一块MicroZed I/O 扩展板卡,这个扩展板补充完善了MicroZed系统化模块(SOM)设计方法,通过分解位于MicroZed开发板背面的两个小型的I/O引脚集管上的I/O引脚
2017-02-08 20:20:29359

关于使用FPGA实现复杂数学函数的计算的分析

作者是Adam Taylor,该文章发表在 第87期XCell期刊 上。Adam经常给XCell期刊投稿,在XCell日报上,他的“MicroZed Chronicles”系列文章已经发表了近30期,最近他成为了e2v科技的系统工程主管。
2019-10-06 17:09:003013

Zynq PS/PL 第七篇: Adam Taylor’s MicroZed 系列27

Adam Taylor's博客系列讲解在基于ARM的Zynq SoC芯片可编程逻辑上实现定点数学函数计算。 我们已经在MicroZed 系列的前期博客中学习了在PL(可编程逻辑)内实现定点运算,现在
2017-02-09 02:07:37210

亚当泰勒玩转MicroZed连载32:驱动Adafruit RGB NeoPixel LED阵列

在上一篇博文中,我介绍了让人着迷的Adafruit NeoPixel RGB LED,并且大概描述了NeoPixel驱动设计的基本要点。(参见” 亚当泰勒玩转MicroZed连载31:系统模块驱动
2017-02-09 03:41:03280

Zynq DMA第七篇:Adam Taylor 玩转MicroZed 系列29

。 与我们在本博客系列中的方法一样:加上所生成的头文件作为BSP的一部分。这些头文件提供了宏和函数,我们可以用来驱动DMA 。我们将在这个示例中加入: Xscugic.h和xil_exceptions.h
2017-02-09 05:47:33211

Zynq PS / PL 第六篇: Adam Taylor玩转 MicroZed 系列26

Adam Taylor's博客系列讲解基于ARM的Zynq SoC芯片可编程逻辑实现定点算法以提高性能。 这个博客系列每周发布,迄今为止已经发布了6个月,我们在Zynq SoC处理器系统(PS
2017-02-09 07:58:12193

Zynq PS / PL 第四篇:Adam Taylor MicroZed系列之 24

了解Zynq PS / PL接口之后;到目前为止,我们已经分析了Zynq All Programmable SoC芯片中的PS (处理器系统)与PL(可编程逻辑)之间的接口。
2017-02-10 12:00:11957

Zynq PS/ PL第五篇:Adam Taylor MicroZed系列之25

我们先来了解一下上节中介绍的Zynq SoC PS/PL接口,我创建一个很简单的外设,使用的是DSP48E1的DSP逻辑片,依靠这个外设第一个寄存器内的控制字执行乘法,加法或减法。
2017-02-10 12:04:41469

Adam Taylor玩转MicroZed系列之57:Zynq和PicoBlaze第二部分

到现在为止,我们知道如何在基于Zynq SoC的系统中例化PicoBlaze 软核处理器。在这篇博客,我们将继续探索更多关于如何生成PicoBlaze 程序以及如何使用JTAG接口更新程序而不是重新编译整个设计。
2017-02-11 07:01:06926

Adam Taylor玩转MicroZed系列之58:Zynq和PicoBlaze第3部分

Zynq SoC的处理系统提供额外功能让我们可以建立一个更加灵活的Zynq 程序下载系统以适应更多工作。
2017-02-11 07:03:111053

Adam Tayloy玩转MicroZed系列59:Zynq与PicoBlaze第4章

在以前发布的玩转MicroZed系列博客中,我们建立了一个基于Zynq的系统,通过使用双端口RAMS和BRAM(块RAM)控制器将两个PicoBlaze处理器核连接到Zynq的PS部分,现在我们将学习一下怎样实现更新存储在双端口RAM中的PicoBlaze处理器的程序。
2017-02-11 07:05:11943

Adam Taylor玩转MicroZedMicroZed操作系统

如何获得FreeRTOS演示并且在MicroZed上运行。FreeRTOS由Real Time Engineering公司开发,为小容量和极快运行速度的嵌入式系统提供帮助。
2017-02-11 10:03:121513

Adam Taylor玩转MicroZed:FreeRTOS

在上一篇博客中成功地演示了FreeRTOS并在基于Zynq的MicroZed板上运行之后,显然我们想要能够编写我们自己的应用程序。因此,我们将首先举一个简单的例子。我们将配置Zynq SoC的XADC并且在串行链路上输出结果。
2017-02-11 10:03:131019

测试系统构建完整指南:软件部

测试系统构建完整指南系列之一,尽管软件部署是测试系统构建过程中最重要的步骤之一,但市面上琳琅满目的部署方法导致这一步骤变成最繁琐、最令人沮丧的一个步骤。 了解不同的考量因素和工具,解决测试软件部署的困难和困惑。
2017-03-29 15:08:58436

zynq linux AXI DMA传输步骤教程详解

本文主要介绍zynq linux AXI DMA传输步骤教程,具体的跟随小编一起来了解一下。
2018-07-08 05:46:0029549

基于AXI总线的DMA控制器的设计

一般而言,DMA控制器的功能与结构是由本单位特定的系统结构决定的。但是作为IP而言,DMA控制器又要有其一般性。DMA是指外部设备直接对计算机存储器进行读写操作的I/O方式。这种方式下数据的读写无需
2017-12-07 15:48:195886

跳舞机器人的软件部分设计:主控单片机程序和从机程序

首先介绍一下软件的架构,小π机器人的软件部分主要包含手机APP程序、上位机程序、主控单片机程序和从机程序构成。本周主要讲解主控单片机程序和从机程序,下周将讲解手机APP程序和上位机程序。
2018-04-09 14:17:005221

ADAM Audio推出S Control远程控制软件

ADAM Audio推出了它的S Control远程控制软件用于该公司的S系列扬声器,称可以让客户“通过Windows或macOS计算机在强大的S系列DSP平台上远程控制所有用户参数以及EQ设定”。
2018-04-17 15:02:042642

PIC24H系列中文参考手册—第38章 直接存储器访问(DMA)(第III部分

PIC24H系列中文参考手册—第38章 直接存储器访问(DMA)(第III部分
2018-05-25 17:19:494

一文详解ZYNQ中的DMAAXI4总线

在ZYNQ中,支持AXI-Lite,AXI4和AXI-Stream三种总线,但PS与PL之间的接口却只支持前两种,AXI-Stream只能在PL中实现,不能直接和PS相连,必须通过AXI
2020-09-24 09:50:304289

何谓 AXI?关于AXI3/AXI4的相关基础知识

新的赛灵思器件设计中不可或缺的一部分。充分了解其基础知识对于赛灵思器件的设计和调试都很有帮助。 本篇博文将介绍赛灵思器件上的 AXI3/AXI4 的相关基础知识。首先,我们将从一些通俗易懂的知识、理论
2020-09-27 11:06:455857

你必须了解的AXI总线详解

不同类型的DMA GPIO PL general purpose AXI GP AXI utlilizing PS DMAC High performance w/DMA ACP w/DMA 几种
2020-10-09 18:05:576391

ZYNQ中DMAAXI4总线

ZYNQ中DMAAXI4总线 为什么在ZYNQ中DMAAXI联系这么密切?通过上面的介绍我们知道ZYNQ中基本是以AXI总线完成相关功能的: 图4‑34连接 PS 和 PL 的 AXI 互联
2020-11-02 11:27:513880

《零死角玩转STM32》—F1系列pdf

《零死角玩转STM32》—F1系列pdf
2021-12-07 18:12:170

Petalinux加速axi-dma内核驱动缓冲区读过程

Petalinux 加速axi-dma内核驱动缓冲区读过程
2022-02-16 16:21:312654

Xilinx FPGA里面的AXI DMA IP核的简单用法

本文以浮点数Floating-point IP核将定点数转换为浮点数为例,详细讲解AXI DMA IP核的使用方法。
2022-02-16 16:21:377547

浅析AXI DMA收发数据传输过程

MAIP的M_AXI_MM2S接口接收到数据完成后通过mm2s_introut接口输出高电平告诉PS端DMA接收数据传输完成,PS端开始执行发送中断程序。
2022-10-11 15:16:131877

玩转单片机的重要功能-DMA,你的MCU编程设计有可能成为卷王!

玩转单片机的重要功能-DMA,你的MCU编程设计有可能成为卷王!
2023-09-18 10:56:03706

Xilinx高性能PCIe DMA控制器IP,8个DMA通道

或Scather Gather DMA,提供FIFO/AXI4-Stream用户接口。 基于PCI Express Integrated Block,Multi-Channel PCIe RDMA
2024-02-22 11:11:55156

已全部加载完成