0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

买台积电都嫌贵的光刻机,大力推玻璃基板,英特尔代工的野心和危机

Felix分析 来源:电子发烧友网 作者:吴子鹏 2024-05-27 07:54 次阅读

电子发烧友网报道(文/吴子鹏)此前,台积电高级副总裁张晓强在技术研讨会上表示,“ASML最新的高数值孔径极紫外光刻机(high-NA EUV)价格实在太高了,台积电目前的极紫外设备(EUV)足以应对2026年末将推出的A16节点技术需求。”

不过,有外媒报道称,ASML截至明年上半年绝大部分high-NA EUV设备的订单已经由英特尔承包,包括今年计划生产的五套设备将全部运给这家美国芯片制造商。目前,英特尔方面已经完成世界首台high-NA EUV光刻机的安装。

另外,英特尔正在大力推动玻璃基板,已加大了与多家设备和材料供应商的订单,以生产基于玻璃基板技术的下一代先进封装,预计将于2030年投入量产。

从这些动作可以看出,英特尔在晶圆代工和先进封装方面有很大的野心,不过如此大的投入和前瞻布局,风险也不小。

英特尔的代工业务急需破局

根据英特尔此前发布的2024年第一季度业绩,该季度英特尔总营收127亿美元,同比增长9%,符合业绩指引。然而,英特尔代工(Intel Foundry)部门第一季营收同比下滑10%至44亿美元,营业亏损25亿美元,主要因晶圆厂建置成本极高。按照英特尔的预测,至少要到2030年才能够实现晶圆代工业务的盈亏平衡。

据介绍,英特尔代工正在部署一种全新且为全球首创的全栈解决方案支持方法,以加速上市,引领行业从“片上系统”向“晶片系统”转型。目前,英特尔主推的代工服务是Intel3、Intel18A和Intel16A。其中,Intel3是Intel4的改进版,性能功耗比提升了17%,增加密集程度更高的库、改进驱动器电流和互连,且产量更高;Intel18A采用了RibbonFET全环绕栅极晶体管技术,这是英特尔自2011年FinFET以来的首个全新晶体管架构,与 FinFET 相比实现晶体管获得更高的性能,以及更低的功耗。另外,英特尔在Intel18A工艺上引入了业界首个背面电能传输网络PowerVia,优化了信号传输,并提供了更好的面积效率,从而能明显提升芯片的性能。去年底英特尔首席执行官Pat Gelsinger曾透露,Intel18A制程已提前实现量产,该公司计划在明年中旬发布Intel 18A制程处理器产品

对于Intel18A工艺的性能,Pat Gelsinger表示,“即将推出的18A工艺节点,实质上是1.8nm技术,可能会超越TSMC的2nm芯片。”不过,和台积电在设备选择上有明显的差异,台积电预计在A16上继续使用现有的EUV光刻机,而英特尔现在已经买进high-NA EUV光刻机。ASML透露,high-NA EUV光刻机的价格大概为3.8亿美元,是现有EUV光刻机(约1.83亿美元)的两倍多。目前ASML已从英特尔和SK海力士等公司获得了high-NA EUV光刻机的订单,数量在10至20台之间。

接近台积电方面的人士称,台积电可能要到A10工艺才会开始使用high-NA EUV光刻机,时间节点可能到2030年。三星方面可能会提前,预计会在2028年之前引入。从这方面来看,英特尔确实是下了决心并走了险棋。

然而,虽然英特尔对代工业务野心勃勃,但其不能不重视持续以来的高额亏损。实际上,2023年,英特尔代工全年的亏损为70亿美元,如果按照第一季度的表现,那么2024年代工业务亏损必将超过这一数值,给英特尔的运营带来更大的压力。并且,短期来看英特尔很难对台积电的代工地位发起冲击。研究机构Counterpoint 的报告显示,2023年第四季度台积电独占全球晶圆代工市场61%份额,依然占据着绝对的市场主导地位。作为对比,全球前五大晶圆代工厂在该季度整体的市场占比为88.8%,除台积电外还有三星的14%,格芯、联电和中芯国际分别是6%、6%和5%。因此,英特尔要赶超的对手还有很多。更重要的是,作为全球晶圆代工的第二名,三星也是在设备和新技术上比较激进的代表。

英特尔积极推动玻璃基板先进封装

在英特尔代工业务介绍中提到,该公司提供高级节点芯片、封装解决方案和弹性供应,以帮助在关键行业中获得创新地位。因此,对于英特尔大力发展的代工业务来说,先进封装也非常重要。

实际上,这是一条台积电已经走得很成功的路。目前,台积电先进封装技术在高性能计算芯片制造的过程价值量越来越高,也得到了市场的广泛认可。据悉,由于人工智能技术的飞速发展,数据中心GPU需求激增,目前台积电面临CoWoS先进封装技术的产能危机。最新的CoWoS技术中介层面积增加、HBM容量提升,以排列更多的芯片、容纳更多的晶体管从而提高系统性能。

不过,目前台积电CoWoS依然是塑料基板+硅中介层的方案,有着膨胀与翘曲等限制。有业内专家表示,目前台积电CoWoS地位不可撼动,该公司似乎还没有发展玻璃基板的想法。因此,玻璃基板是英特尔和三星从先进封装超越台积电的最佳路径。

英特尔是业内最先推动玻璃基板发展的,资料显示,英特尔开发玻璃基板已有近十年的历史。过去十年投资约10亿美元,在亚利桑那州工厂建立玻璃基板研发线和供应链,预计在2026至2030年推出完整的玻璃基板方案,使单一封装纳入更多的晶体管,并继续推进摩尔定律。

之所以英特尔大力推动玻璃基板的发展,原因是玻璃基板有诸多性能优势。玻璃基板芯片的功率和数据连接能力相当于有机基板芯片的10倍,所以它拥有更强的数据吞吐能力。另外,玻璃基板芯片传输时能耗浪费更少,拥有更高的传输速度、更节能,而且它还可以承受更高的温度。

不过,就像在先进制程领域一样,英特尔同样要面临三星的冲击。专家表示,预计三星在玻璃基板技术上的进展可能快于英特尔,因为其用途更明确,而英特尔需要满足更高的标准。据悉,三星目前内部正在加大研发力量,预计在2026年推出基于玻璃基板的先进封装,抢在英特尔的前面。

结语

英特尔在晶圆代工领域投入了重金,可谓是志在必得。然而,英特尔要引领全球晶圆代工市场的发展,第一步并不是超越台积电,而是打败三星这个第二名。和英特尔一样,三星在晶圆代工领域同样激进,且很有财力。在持续巨额的亏损下,英特尔需要先和三星进行一场白刃战,然后才能够想超越台积电的事情,这让英特尔大力投资的晶圆代工业务有着巨大的风险。
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 英特尔
    +关注

    关注

    60

    文章

    9576

    浏览量

    169691
  • 台积电
    +关注

    关注

    43

    文章

    5397

    浏览量

    165191
  • 工艺
    +关注

    关注

    3

    文章

    541

    浏览量

    28616
  • 玻璃基板
    +关注

    关注

    0

    文章

    53

    浏览量

    10178
  • 光刻机
    +关注

    关注

    31

    文章

    1129

    浏览量

    46545
收藏 人收藏

    评论

    相关推荐

    英特尔公布玻璃芯研发进展,玻璃基板或引领下一代先进封装

    近日,英特尔发表声明展示“业界首款”用于下一代先进封装的玻璃基板,与现今使用的有机基板相比,玻璃基板
    的头像 发表于 09-24 05:08 2382次阅读
    <b class='flag-5'>英特尔</b>公布<b class='flag-5'>玻璃</b>芯研发进展,<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>或引领下一代先进封装

    Rapidus对首代工艺中0.33NA EUV解决方案表示满意,未采用高NA EUV光刻机

    在全球四大先进制程代工巨头(包括台积电、三星电子、英特尔以及Rapidus)中,只有英特尔明确表示将使用High NA EUV光刻机进行大规模生产。
    的头像 发表于 05-27 14:37 293次阅读

    英特尔加大玻璃基板技术布局力度

    近日,全球领先的半导体制造商英特尔宣布,将大幅增加对多家设备和材料供应商的订单,旨在生产基于玻璃基板技术的下一代先进封装产品。这一战略举措预示着英特尔对于未来封装技术的深度布局和坚定信
    的头像 发表于 05-20 11:10 316次阅读

    英特尔突破技术壁垒:首台商用High NA EUV光刻机成功组装

    英特尔的研发团队正致力于对这台先进的ASML TWINSCAN EXE:5000 High NA EUV光刻机进行细致的校准工作,以确保其能够顺利融入未来的生产线。
    的头像 发表于 04-22 15:52 506次阅读

    英特尔成为全球首家购买3.8亿美元高数值孔径光刻机的厂商

    英特尔最近因决定从荷兰 ASML 购买世界上第一台高数值孔径(High-NA)光刻机而成为新闻焦点。到目前为止,英特尔是全球唯一一家订购此类光刻机的晶圆厂,据报道它们的售价约为3.8亿
    的头像 发表于 03-06 14:49 251次阅读
    <b class='flag-5'>英特尔</b>成为全球首家购买3.8亿美元高数值孔径<b class='flag-5'>光刻机</b>的厂商

    英特尔:2025年全球AIPC将超1亿占比20%

    英特尔行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月29日 09:15:26

    英特尔1nm投产时间曝光!领先于

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月28日 16:28:32

    盟立获应用材料认证,进军玻璃基板封装用EFEM市场

    值得注意的是,在此次IFS晶圆代工会议上,英特尔公布了最新的3D先进封装技术并再次强调,玻璃基板封装将于2026年全面投入生产。
    的头像 发表于 02-22 14:08 400次阅读

    英特尔抢下6种ASML HIGH NA光刻机

    如果我们假设光刻机成本为 3.5 亿至 4 亿美元,并且 2024 年 10 个光刻机的HIGH NA 销售额将在 35亿至40亿美元之间。
    的头像 发表于 12-28 11:31 542次阅读

    英特尔玻璃基板将推动算力提升

           在今年9月,英特尔宣布率先推出用于下一代先进封装的玻璃基板,并计划在未来几年内向市场提供完整的解决方案,从而使单个封装内的晶体管数量不断增加,继续推动摩尔定律,满足以数据为中心
    的头像 发表于 12-06 09:31 279次阅读

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    下一代英特尔玻璃基板封装转型概述

    英特尔还计划引入玻璃通孔技术(TGV),将类似于硅通孔的技术应用于玻璃基板,还推出了Foveros Direct,这是一种具有直接铜对铜键合功能的高级封装技术。
    的头像 发表于 10-08 15:36 1015次阅读
    下一代<b class='flag-5'>英特尔</b><b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>封装转型概述

    英特尔先进封装的玻璃基板技术解析

    有机基板的材料主要由类似 PCB 的材料和编织玻璃层压板制成,允许通过芯片路由相当多的信号,包括基本的小芯片设计,例如英特尔的移动处理器(具有单独的 PCH 和 CPU 芯片)以及 AMD 基于小芯片的 Zen 处理器。
    发表于 09-28 11:29 1954次阅读
    <b class='flag-5'>英特尔</b>先进封装的<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>技术解析

    英特尔推出玻璃基板计划:重新定义芯片封装,推动摩尔定律进步

    当地时间9月18日,芯片制造商英特尔公司宣布,在用于下一代先进封装的玻璃基板开发方面取得重大突破。 在本周于美国加利福尼亚州圣何塞举行的英特尔2023年创新大会之前,
    的头像 发表于 09-20 08:46 729次阅读
    <b class='flag-5'>英特尔</b>推出<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>计划:重新定义芯片封装,推动摩尔定律进步

    英特尔正在研发玻璃材质的芯片基板

    据外媒EE Times报道,英特尔正在研发玻璃材质的芯片基板,以解决目前有机材质基板用于芯片封装存在的问题。 英特尔装配和测试主管Pooya
    的头像 发表于 06-30 11:30 916次阅读