0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

下一代英特尔玻璃基板封装转型概述

汽车电子设计 来源:芝能智芯出品 2023-10-08 15:36 次阅读

英特尔近期宣布推出一种新型处理器技术,使用玻璃基板替代传统的有机基板,有望彻底改变处理器和芯片的制造方式。相较于有机基板,玻璃基板具备更高的互连密度、更高效的输入/输出、更快速的信号传输、更低的功耗,并且可以实现类似硅的热膨胀,有助于制造更大的封装。

45332504-6586-11ee-939d-92fbcf53809c.png

英特尔还计划引入玻璃通孔技术(TGV),将类似于硅通孔的技术应用于玻璃基板,还推出了Foveros Direct,这是一种具有直接铜对铜键合功能的高级封装技术。计划为可插拔共封装光学器件设计一种基于玻璃的耦合技术。这些创新将使处理器和芯片在性能、功耗和功能方面取得巨大进展,为未来计算技术的发展铺平了道路。

45519aca-6586-11ee-939d-92fbcf53809c.png

玻璃基板封装技术:处理器制造的差异化:英特尔最近宣布了一项令人振奋的技术突破,将引入一种创新的处理器技术,采用玻璃基板替代传统的有机基板

45731bf0-6586-11ee-939d-92fbcf53809c.png

高密度互连与光学互连的实现

玻璃基板技术将带来更高的互连密度和集成光学互连的能力,为处理器的性能提升提供了新的可能性。相较于传统有机基板,玻璃基板不仅功耗更低,而且信号传输速度更快,为计算设备的高效运行提供了关键支持。

先进封装选项

45989b5a-6586-11ee-939d-92fbcf53809c.png

英特尔的新技术不仅仅停留在玻璃基板的层面,还引入了Foveros Direct,这是一种具有直接铜对铜键合功能的高级封装技术,计划为可插拔共封装光学器件设计一种基于玻璃的耦合技术,已在英特尔创新 2022 上展示,为处理器的未来功能拓展奠定了基础。

45b25392-6586-11ee-939d-92fbcf53809c.png

相较于有机基板,玻璃基板的制造具备更高的灵活性,可以调整为具有类似于硅的热膨胀特性,这有助于制造更大封装的处理器。英特尔预测,相较于有机基板,玻璃基板可以获得10倍甚至更多的通孔密度,实现更低的能耗和更高速度的信号传输(高达448G)。

45d3385a-6586-11ee-939d-92fbcf53809c.png

玻璃通孔技术的应用

硅通孔技术(TSV)现在正被成功应用于玻璃基板上,与以往相比,新一代处理器将在更小的体积内实现更多的组件,从而提高了设备的紧凑性和性能。

45debff4-6586-11ee-939d-92fbcf53809c.png

展望未来

英特尔明确表示,这项突破性的技术披露为未来的计算设备和人工智能提供了崭新的可能性。

45e9aaa4-6586-11ee-939d-92fbcf53809c.png

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 英特尔
    +关注

    关注

    60

    文章

    9425

    浏览量

    168831
  • 封装
    +关注

    关注

    124

    文章

    7279

    浏览量

    141100
  • 玻璃基板
    +关注

    关注

    0

    文章

    41

    浏览量

    10123

原文标题:下一代英特尔玻璃基板封装转型概述

文章出处:【微信号:QCDZSJ,微信公众号:汽车电子设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    英特尔公布玻璃芯研发进展,玻璃基板或引领下一代先进封装

    近日,英特尔发表声明展示“业界首款”用于下一代先进封装玻璃基板,与现今使用的有机基板相比,
    的头像 发表于 09-24 05:08 2196次阅读
    <b class='flag-5'>英特尔</b>公布<b class='flag-5'>玻璃</b>芯研发进展,<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>或引领<b class='flag-5'>下一代</b>先进<b class='flag-5'>封装</b>

    英特尔酷睿14处理器系列发布,Arrowlake/LunarLake24年问世

    处理器英特尔
    looger123
    发布于 :2024年01月10日 17:44:38

    玻璃基板对于下一代多芯片封装至关重要

    ,它认为这是支持人工智能和机器学习等应用实现更高密度、更高性能芯片的关键。 △英特尔展示使用玻璃基板制成的未完成封装 英特尔表示,与现在的有
    的头像 发表于 12-07 15:29 429次阅读

    英特尔玻璃基板将推动算力提升

           在今年9月,英特尔宣布率先推出用于下一代先进封装玻璃基板,并计划在未来几年内向市场提供完整的解决方案,从而使单个
    的头像 发表于 12-06 09:31 239次阅读

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    英特尔先进封装玻璃基板技术解析

    有机基板的材料主要由类似 PCB 的材料和编织玻璃层压板制成,允许通过芯片路由相当多的信号,包括基本的小芯片设计,例如英特尔的移动处理器(具有单独的 PCH 和 CPU 芯片)以及 AMD 基于小芯片的 Zen 处理器。
    发表于 09-28 11:29 1365次阅读
    <b class='flag-5'>英特尔</b>先进<b class='flag-5'>封装</b>的<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>技术解析

    英特尔展示先进玻璃基板封装工艺,目标实现单一封装万亿晶体管

    英特尔介绍称,与目前主流的有机基板相比,玻璃具有独特的特性,例如超低平坦度、更好的热稳定性和机械稳定性,从而使基板中的互连密度更高。这些优势将使芯片架构师能够为人工智能(AI)等数据密
    的头像 发表于 09-20 17:45 854次阅读
    <b class='flag-5'>英特尔</b>展示先进<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b><b class='flag-5'>封装</b>工艺,目标实现单一<b class='flag-5'>封装</b>万亿晶体管

    满足更高算力需求,英特尔率先推出用于下一代先进封装玻璃基板

    玻璃基板有助于克服有机材料的局限性,使未来数据中心和人工智能产品所需的设计规则得到数量级的改进。 英特尔宣布在业内率先推出用于下一代先进封装
    的头像 发表于 09-20 17:08 234次阅读

    英特尔突破下一代半导体封装玻璃基板,应用在大尺寸封装领域

    日前有消息称,英特尔公司最近取得突破性的技术创新,推出了针对下一代半导体封装玻璃基板。 据悉,这种玻璃
    的头像 发表于 09-20 10:39 598次阅读

    英特尔推出玻璃基板计划:重新定义芯片封装,推动摩尔定律进步

    当地时间9月18日,芯片制造商英特尔公司宣布,在用于下一代先进封装玻璃基板开发方面取得重大突破。 在本周于美国加利福尼亚州圣何塞举行的
    的头像 发表于 09-20 08:46 568次阅读
    <b class='flag-5'>英特尔</b>推出<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>计划:重新定义芯片<b class='flag-5'>封装</b>,推动摩尔定律进步

    英特尔推出下一代先进封装玻璃基板,业界提出质疑

    英特尔称该基板材料是一项重大突破,可解决有机材质基板用于芯片封装产生的翘曲问题,突破了现有传统基板的限制,让半导体
    的头像 发表于 09-19 17:36 847次阅读
    <b class='flag-5'>英特尔</b>推出<b class='flag-5'>下一代</b>先进<b class='flag-5'>封装</b>用<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>,业界提出质疑

    英特尔展示下一代玻璃基板互连密度提高10倍

    行业芯事行业资讯
    电子发烧友网官方
    发布于 :2023年09月19日 10:54:21

    三星电机宣布下一代半导体封装基板技术

    三星电机是韩国最大的半导体封装基板公司,将在展会上展示大面积、高多层、超薄型的下一代半导体封装基板,展示其技术。
    发表于 09-08 11:03 284次阅读

    英特尔先进封装:彻底改变芯片封装技术

    英特尔通过使用玻璃基板作为更有效的替代品,同时降低成本。
    的头像 发表于 07-03 09:58 683次阅读

    英特尔正在研发玻璃材质的芯片基板

    据外媒EE Times报道,英特尔正在研发玻璃材质的芯片基板,以解决目前有机材质基板用于芯片封装存在的问题。
    的头像 发表于 06-30 11:30 764次阅读