0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence数字和定制/模拟流程通过Intel 18A工艺技术认证

CHANBAEK 来源:网络整理 2024-02-27 14:02 次阅读

Cadence近日宣布,其数字和定制/模拟流程在Intel的18A工艺技术上成功通过认证。这一里程碑式的成就意味着Cadence的设计IP将全面支持Intel的代工厂在这一关键节点上的工作,并提供制程设计套件(PDK),助力开发者加速一系列前沿应用的开发,包括低功耗消费电子、高性能计算(HPC)、人工智能和移动计算设计。

值得一提的是,Cadence的研发团队与Intel代工厂紧密合作,确保了流程的兼容性和高效性。这次认证不仅为客户提供了一流的SoC(系统级芯片)和芯片系统设计能力,还推动了更先进的人工智能、高性能计算和高级移动应用的开发。

Cadence为Intel 18A技术设计的IP具有广泛的应用范围,特别在高性能计算(HPC)和人工智能/机器学习AI/ML)领域表现出色。其中包括支持企业级PCI Express(PCIe)6.0和Compute Express Link(CXL)的解决方案,为数据传输和计算性能提供了强大的支持。此外,LPDDR5X/5 8533Mbps的多标准PHY则能够满足多样化的存储应用需求。值得一提的是,UCIe技术将进一步提升多芯片系统的封装集成能力,而112G超长距离SerDes则以其卓越的比特误码率(BER)性能,为数据传输提供了坚实的保障。

此次认证不仅证明了Cadence在半导体设计领域的领先地位,也为其客户提供了更加可靠和高效的设计流程和设计IP。随着这些先进的工具和技术的广泛应用,我们有理由期待在未来看到更多创新的产品和应用快速上市,满足市场和消费者的需求。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 半导体
    +关注

    关注

    328

    文章

    24539

    浏览量

    202222
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140796
  • intel
    +关注

    关注

    19

    文章

    3452

    浏览量

    184785
收藏 人收藏

    评论

    相关推荐

    Ansys多物理场签核解决方案获得英特尔代工认证

    Ansys的多物理场签核解决方案已经成功获得英特尔代工(Intel Foundry)的认证,这一认证使得Ansys能够支持对采用英特尔18A工艺技术
    的头像 发表于 03-11 11:25 314次阅读

    是德科技携手Intel Foundry成功验证支持Intel 18A工艺技术的电磁仿真软件

    是德科技与Intel Foundry的这次合作,无疑在半导体和集成电路设计领域引起了广泛的关注。双方成功验证了支持Intel 18A工艺技术的电磁仿真软件,为设计工程师们提供了更加先进
    的头像 发表于 03-08 10:30 349次阅读

    新思科技携手英特尔加速Intel 18A工艺下高性能芯片设计

    新思科技数字模拟 EDA 流程经过认证和优化,针对Intel 18A
    的头像 发表于 03-05 17:23 284次阅读

    新思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

     芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字模拟EDA流程经过认证和优化,针对In
    发表于 03-05 10:16 111次阅读

    是德科技与Intel Foundry成功验证支持Intel 18A工艺的电磁仿真软件

    设计工程师现在可以使用 RFPro 对 Intel 18A 半导体工艺技术中的电路进行电磁仿真
    的头像 发表于 02-27 14:29 194次阅读

    Cadence数字定制/模拟流程Intel 18A工艺技术通过认证

    Cadence® 设计 IP 支持 Intel 代工厂的这一节点,并提供相应的制程设计套件(PDK),用于加速一系列应用的开发,包括低功耗消费电子、高性能计算(HPC)、人工智能和移动计算设计。
    的头像 发表于 02-27 14:21 230次阅读

    英特尔20A、18A工艺流片,台积电面临挑战

    英特尔的Intel 20A和Intel 18A工艺已经开始流片,意味着量产阶段已经不远。而2nm工艺和1.8nm
    的头像 发表于 12-20 17:28 905次阅读

    Cadence 数字定制/模拟设计流程获 TSMC 最新 N2 工艺认证

    和移动 IC 中国上海,2023 年 10 月 10 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字定制/模拟
    的头像 发表于 10-10 16:05 303次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程Cadence 和 TSMC 共同开发,旨在实现
    的头像 发表于 09-27 10:10 350次阅读

    Cadence 数字定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel
    的头像 发表于 07-14 12:50 412次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>数字</b>、<b class='flag-5'>定制</b>/<b class='flag-5'>模拟</b>设计<b class='flag-5'>流程</b><b class='flag-5'>通过</b><b class='flag-5'>认证</b>,Design IP 现已支持 <b class='flag-5'>Intel</b> 16 FinFET 制程

    Cadence数字定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:12 417次阅读

    Cadence 数字定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:10 355次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术模拟IP自动迁移

    内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung Foundry
    的头像 发表于 07-04 10:10 519次阅读

    Cadence数字定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字定制/模拟设计
    的头像 发表于 05-09 10:09 748次阅读

    Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

    ,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程Cadence 和台积电共同开发,旨在实现定制模拟 IC 设计在台
    的头像 发表于 05-06 15:02 856次阅读