0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence 数字和定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

Cadence楷登 来源:未知 2023-07-05 10:10 次阅读

内容提要

Cadence 和 Samsung 的合作,使客户能够利用两个公司最新的技术,进行手机、汽车、AI 和超大规模设计的创新

工程师们能够在 PDK 上设计 IC 产品,这些 PDK 已经过 SF2 和 SF3 流程认证

Cadence 数字全流程针对先进节点实现了最佳 PPA 结果

Cadence 定制/模拟工具,包括基于 AI 的 Virtuoso Studio,已针对最新节点进行优化

中国上海, 2023 年 7 月 5 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,其数字和定制/模拟流程已通过 Samsung Foundry 的 SF2 和 SF3 工艺技术认证。两家公司还合作开发了新的制程设计套件(PDK),旨在简化于这些最新节点上的移动、汽车、AI 和超大规模 IC 产品的流程。双方的共同客户现正在积极使用 Cadence流程,全力开发基于 SF2 和 SF3 工艺的设计。

Cadence 数字工具

针对 SF2 和 SF3 技术进行优化

Cadence 全面的 Cadence RTL-to-GDS 设计流程支持 Samsung 的 SF2 和 SF3 技术,可提供最佳的功率、性能和面积(PPA)结果。该流程包括 GenusSynthesis Solution、Modus DFT Software Solution、InnovusImplementation System、QuantusExtraction Solution 及 Quantus Field Solver、TempusTiming Signoff Solution 以及 Tempus ECO Option、PegasusVerification System、LiberateCharacterization Portfolio、VoltusIC Power Integrity Solution 和 Cadence CerebrusIntelligent Chip Explorer。

借助这个经过认证的流程,客户能轻松实现先进节点上的 IC 设计。比如支持单元交换旨在帮助设计人员对齐单元引脚,进行直接连接,以节省布线资源;支持混合行(各种组合)解决方案,以最大化基于面积的设计规则;利用有 mask 位移的单元和在水平方向上有半轨道位移的单元,工具具有摆放和精细调整走线的能力,可以减少移位;支持各种直线型不规则形状的标准单元,以实现更高的密度;以及插入增强过的、兼顾 trim metal 的通孔钉,以减少压降。

Cadence 定制/模拟工具

针对 SF2 和 SF3 技术经过优化

Cadence 定制和模拟工具针对 Samsung 的 SF3 和 SF2 节点经过优化,包括基于 AI 的 VirtuosoStudio 设计工具——Virtuoso Schematic Editor、Virtuoso ADE Suite 及 Cadence Virtuoso Layout Suite——SpectreSimulation Platform——Spectre X Simulator、Spectre FX 及 Spectre RF,以及 Voltus-XFi Custom Power Integrity Solution。

定制/模拟设计工具是客户的得力助手,可提供更好的工艺角仿真管理、统计分析、中心化设计和电路优化;支持在现代计算集群、私有云及公共云配置上并行操作;在整个 layout 环境中提供更好的性能和可扩展性;混合信号 OpenAccess 设计套件与 Innovus Implementation 的摆放和布线引擎无缝集成,提高结果质量;汇总 EM-IR 信息,高亮违例和详细的电阻值、金属层、宽度和长度信息;提供电路性能和可靠性方面的反馈。

“得益于此次与 Cadence 的合作,已有客户成功利用 Cadence 经过认证的设计流程和我们先进的 SF2 和 SF3 工艺技术提高了生产力,”Samsung Electronics 代工厂设计技术团队副总裁 Sangyun Kim 说,“利用新的 PDK,开发人员在开发下一代移动、汽车、人工智能和超大规模设计的时候能更简单、更快地将创新产品推向市场。”

“Cadence 研发团队与 Samsung Foundry 团队精诚合作,针对 Samsung 的 SF2 和 SF3 工艺技术精细调整我们相应的数字和定制/模拟流程,以帮助客户提高设计效率,”Cadence 数字和签核事业部副总裁 Vivek Mishra 表示,“我们的数字流程有PPA方面的优势,而我们的定制/模拟流程依托 Virtuoso Studio,为定制 IP 开发设定了新的标准,助力双方的共同客户利用 Samsung 的 SF2 和 SF3 工艺技术推进产品创新。”

Cadence 的数字和定制/模拟设计流程支持 Cadence 的智能系统设计(Intelligent System Design)战略,旨在实现系统级芯片(SoC)的卓越设计。

要了解更多关于

Cadence 先进节点解决方案信息,请访问 www.cadence.com/go/advdndsf2sf3

(您可复制至浏览器或点击阅读原文打开)

关于 Cadence

Cadence 是电子系统设计领域的关键领导者,拥有超过 30 年的计算软件专业积累。基于公司的智能系统设计战略,Cadence 致力于提供软件、硬件和 IP 产品,助力电子设计概念成为现实。Cadence 的客户遍布全球,皆为最具创新能力的企业,他们向超大规模计算、5G 通讯、汽车、移动设备、航空、消费电子工业和医疗等最具活力的应用市场交付从芯片、电路板到完整系统的卓越电子产品。Cadence 已连续九年名列美国财富杂志评选的 100 家最适合工作的公司。如需了解更多信息,请访问公司网站www.cadence.com。

2023 Cadence Design Systems, Inc. 版权所有。在全球范围保留所有权利。Cadence、Cadence 徽标和 www.cadence.com/go/trademarks 中列出的其他 Cadence 标志均为 Cadence Design Systems, Inc. 的商标或注册商标。所有其他标识均为其各自所有者的资产。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140788
收藏 人收藏

    评论

    相关推荐

    Cadence数字定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字定制/模拟流程在Intel的18A工艺技术上成功通过
    的头像 发表于 02-27 14:02 210次阅读

    三星试产第二代3纳米工艺SF3,2024年下半年大规模量产

    SF3工艺的独特之处在于其实现了在同一单元内调整不同环栅(GAA)晶体管纳米片沟道宽度。
    的头像 发表于 01-24 15:21 423次阅读

    Samsung研发第二代3纳米工艺 SF3

    据报道,韩国三星代工厂已经开始试制其第二代 3 纳米级别工艺技术的芯片,称为 SF3。这一发展标志着半导体行业的一个重要里程碑,因为三星与台积电竞争下一代先进工艺节点的量产主导权。韩国知名权威
    的头像 发表于 01-22 16:10 503次阅读
    <b class='flag-5'>Samsung</b>研发第二代3纳米<b class='flag-5'>工艺</b> <b class='flag-5'>SF3</b>

    Cadence 签核解决方案助力 Samsung Foundry 的 5G 网络 SoC 设计取得新突破

    优势 1 Samsung Foundry 使用 Cadence Tempus Timing Solution 和 Quantus Extraction Solution 成功实现 SF
    的头像 发表于 12-04 10:15 274次阅读

    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

    Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得
    的头像 发表于 11-15 15:55 439次阅读
    <b class='flag-5'>Cadence</b> EMX 3D Planar Solver 通过 <b class='flag-5'>Samsung</b> <b class='flag-5'>Foundry</b> 8nm LPP <b class='flag-5'>工艺技术</b><b class='flag-5'>认证</b>

    Cadence 数字定制/模拟设流程获 TSMC 最新 N2 工艺认证

    和移动 IC 中国上海,2023 年 10 月 10 日——楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布其数字定制/模拟
    的头像 发表于 10-10 16:05 301次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程Cadence 和 TSMC 共同开发,旨在实现
    的头像 发表于 09-27 10:10 348次阅读

    Cadence 数字定制/模拟设流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    流程现已通过 Intel 16 FinFET 工艺技术认证,其 Design IP 现可支持 Intel Foundry Services(IFS)的此
    的头像 发表于 07-14 12:50 409次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>数字</b>、<b class='flag-5'>定制</b>/<b class='flag-5'>模拟设</b>计<b class='flag-5'>流程</b>通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence 推出经过认证的创新背面实现流程,以支持 Samsung Foundry SF2 技术

    内容提要 ●  完整的背面布线解决方案,助力面向移动、汽车、人工智能和超大规模应用的下一代高性能芯片设计 ●  Cadence SF2 数字流程包括用于 nTSV 优化的先进
    的头像 发表于 07-10 10:45 307次阅读
    <b class='flag-5'>Cadence</b> 推出经过<b class='flag-5'>认证</b>的创新背面实现<b class='flag-5'>流程</b>,以支持 <b class='flag-5'>Samsung</b> <b class='flag-5'>Foundry</b> <b class='flag-5'>SF2</b> <b class='flag-5'>技术</b>

    Cadence数字定制/模拟流程通过Samsung FoundrySF2SF3工艺技术认证

    已经过 SF2SF3 流程认证 ● Cadence 数字
    的头像 发表于 07-05 10:12 414次阅读

    Cadence Virtuoso Studio流程获得Samsung Foundry认证,支持先进工艺技术模拟IP自动迁移

    内容提要 1 轻松实现节点到节点的设计和 layout 迁移 2 将定制/模拟设计迁移速度提升 2 倍 3 Cadence Virtuoso Studio 针对所有 Samsung
    的头像 发表于 07-04 10:10 508次阅读

    Cadence基于AI的Cadence Virtuoso Studio设计工具获得认证

    ● Samsung Foundry 有众多 PDK 系列,可搭配 Virtuoso Studio 用于简化模拟定制和射频设计,最高支持 SF
    的头像 发表于 06-30 10:08 776次阅读

    CadenceSamsung Foundry 达成多年期协议以扩展其设计 IP 产品组合

    :CDNS)近日宣布与 Samsung Foundry 签订一份多年期协议,扩大 Cadence 设计 IP 产品组合在 Samsung Found
    的头像 发表于 06-16 12:15 458次阅读
    <b class='flag-5'>Cadence</b> 与 <b class='flag-5'>Samsung</b> <b class='flag-5'>Foundry</b> 达成多年期协议以扩展其设计 IP 产品组合

    Cadence数字定制/模拟设流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字定制/模拟设
    的头像 发表于 05-09 10:09 747次阅读

    Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

    ,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程Cadence 和台积电共同开发,旨在实现定制模拟 IC 设计在台
    的头像 发表于 05-06 15:02 853次阅读