0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

VIVADO软件使用问题总结

Hack电子 来源:明德扬FPGA科教 作者:明德扬FPGA科教 2023-12-15 10:11 次阅读

【关键问题!!!!重要!!!】VIVADO会在MESSAGE窗口出提示很多错误和警告信息!

wKgaomV7teyAeIfXAAB3UYEkR1A864.png

但建议大家不要看此信息,原因如下:

这些信息有可能是过时的,可能是之前报错了,因此修正了,这些信息没有更新。

有些信息是错误的,但不影响整个工程的运行,例如某个IP核出错,但实际工程没使用此IP核。

这些信息没有顺序,即哪些错误是先发生的不知道,影响定位。

总之不要看MESSAGE栏的内容。

最权威的信息,应该看LOG。如下图

wKgZomV7teyAFc5pAAC3yC1Xmtg874.png

要看LOG这一栏。 这一栏中,有Synthesis和Implementation这两部分内容。建议整个工程运行后,再看LOG中,第一个报错的地方,解决第一个报错的问题。

【问题1】使用VIVADO编译时出现如下错误,我使用的是K7芯片

wKgZomV7teyAP9NtAABrrhOg388902.png

答:仔细阅读提示,该提示是说本软件没有K7芯片的license。您需要去官方下载一个K7芯片的LICENSE使用,该LICENSE是免费的。

LICENSE的申请方法,请参考:https://blog.csdn.net/wxh0000mm/article/details/84068162

注意,上面链接中讲的是申请VIVADO LICENSE的方法,本问题是指K7芯片的LICENSE,要选择时注意选正确。

【问题2】ILA报如下错误,大概意思是有一些信号没有连接。

The debug port 'u_ila_0/probe4' has 1 unconnected channels (bits). This will cause errors during implementation

答:1. 该报错是指ILA里有一些接口没有连接。您要检查一下例化时,是否全部的probe都已经连接了,尤其需要注意的是:里面的每个probe位宽都要正确,也就是probe的位宽和信号位宽要一样。

2. 在message界面显示的是之前残留的信息,需要点一次reset synthesis run,重新RUN一遍,查看最新的提示信息。

【问题3】VIVAOD添加include文件报错。

wKgaomV7teyADtOCAAFY2GTOG6c747.jpg

答:

一般include进来的文件,不是一个完整的module代码。

该代码是不能像其他代码那样,通过添加文件的方式加到本工程,这是不正确的。

正确的方式是,在VIVADO的设置界面(在最左边的窗口,PROJECT MANAGER,点击setting),然后按下图设置。

wKgaomV7t5KAVHt-AAJlLNCJ1zo662.jpg

如果还报错,注意要把该文件从工程中remove掉。

【问题4】不添加ILA,可以顺利生成bit流文件。添加ILA后,就在布局布线这里报错,这是什么原因呢?

答:使用ILA的时候,特别注意ILA的信号个数、信号位宽要与被测信号一致,否则会存在编译不通过的风险

wKgZomV7t5GAX_KpAACYXVakEbw723.jpg

像这种错误提示,如果看不懂,则看关键词和提示的信号。如上图中的FSM_onehot_state_c[0]信号,从代码上检查该信号是否存在以下问题。

该信号是不是没有被驱动(也就是没被赋值);

该信号是不是被 优化(包括直接或者间接未被使用);

信号位宽不正确;

该信号与其他信号有哪些不同。

再不行,尝试改改该信号信号,重新综合看

【问题5】以下图片出现?号问题是怎么回事?

wKgaomV7teyALHJGAAHMx_HWips835.jpg

答:出现问号,是表示该文件没有添加到文件,请把该代码添加到工程

【问题6】 出现以下警告 Synthesis(101 critical warnings),请问如何解决?

wKgZomV7teyAYOrnAAHFapjUFR0492.jpg

答:拉信号到调试工具引起的警告,MDY不使用此方法,所以没遇到过。建议使用例化ILA的方法,我们已经形成了一套规范方法,有兴趣可以看下面链接 :

VIVADO使用教程http://www.mdy-edu.com/wentijieda/20210409/1209.html?1617940294

请看 第六集:VIVADO教程06-MDY的ILA使用经验

【问题7】 出现器件识别不到有如下可能原因,如下图所示,请问是什么原因 ?

wKgaomV7te2AMiPaAAE3EU60Kl8011.jpg

答:

1.下载器有问题,可以换一个下载器看看。

2.硬件电路有问题,需要检查。

3.电源未开。

4.下载器插反了。

5.FPGA芯片有问题,以上是可能原因。

【问题8】老师,什么情况下不用sdk?

答:只有纯逻辑设计,没有用到软核和ARM的时候,可以不用sdk。

【问题9】下面是AXI总线的时序图,但我仿真发现,在AWVALID之前,AWREADY就变高了,这是错误的吗?

wKgaomV7te2AYLcLAAEW57wZKn0413.png

答:上面时序没有说,在AWVALID之后,AWREADY才为1。上图只是要说明的是:AWVALID和AWREADY同时为1,才表示一个有效的数据。AWREADY是可以任意时候为1的。 这也告诉我们,时序不要想当然地理解。

【问题10】Vivado-Synthesis: Verilog parameter overridden within instantiation fails with "ERROR:[Synth 8-3438]"

wKgZomV7teyACCmtAABMM8kNAw8520.jpg

答:这句提示是说:在例化的时候,参数TBYTE_SCR在设计文件里找不到。即原设计文件里没有TBYTE_SCR,但例化的时候又使用了。

【问题11】布线里route design跑很久,不知是什么回事?

答:1.使用增量编译的方法,会节省一些时间。具体做法可以百度;

2.换一台性能更好的电脑

【问题12】在布局布线时,提示DRC错误,错误如下图。

wKgaomV7teyAHrsHAAESNfOn5DA944.png

答:看提示,一般抓关键词。

注意图中的关键词:

bank 14,rst_n,LVCMOS25,si5388_scl,LVCMOS33。

在开发过程,要和这些词关联起来的步骤就是配置管脚,并且这些管脚与BANK14有关。

所以根据提示,可以去检查配置管脚的页面。

其实这里的问题是:

在同一个BANK的管脚,其电压标准必须是相同的,例如都使用2.5V标准,或者都用3.3V标准。

LVCMOS25是2.5V的,LVCMOS33是3.3V的。

解决方法:

将rst_n配置成LVCMOS33,或者将si5388_scl配置成LVCMOS25

【问题13】老师使用ILA采样的时候 说明波形也没有 并且有这个警告是怎么回事啊-----Vivado INFO [Labtools 27-1964]

wKgZomV7teyAYsiYAAGsp2ofqRE423.jpg

答:

1、检查是否添加了siganls,并且您能够更早地看到它们,现在它们消失了?

2、可以关闭hw_ila1窗口,然后重新打开并检查是否可以看到任何信号吗?

如果不是,请单击“ +”按钮并手动添加信号。

同时点击立即触发并重新检查

【问题14】老师这个管脚驱动强度是什么意思啊 选择的时候应该怎么选?

wKgZomV7teyAW_aPAABxVwkiMpY332.jpg

答:

可以使用默认值,一直没改过

【问题15】我的iP显示锁定,更新IP怎么是locked by user

wKgaomV7teyAQK-FAACyBXbcSbY632.jpg

答:

这是设计师对自己写的代码锁定了,其他人无法修改。所以这个就是直接使用即可。如果使用有问题,请找原设计师。

【问题16】使用VIVADO的DEBUG工具时,提示“clock has stopped,unable to arm ILA”

wKgZomV7teyAMFd4AADXbFZTDhQ710.png

wKgaomV7te2AekvgAAAkA4QaRvg580.png

答:

该提示是说,时钟信号停止了,也就是说上述做对中gtx_rx_clk没有像时钟那样,高低高低变化。请检查该信号,是不是拼写错误,还是说该时钟确实没有。

【问题17】Unrouted nets:4 net(s) are unrouted .The problem bus(es) and/or net(s) are............

答:出现这个错误的原因一般是:

xdc文件中的约束不正确或者冲突,解决方法如下

1、根据实际使用情况重新进行约束

2、在将IOB属性更改为TRUE

【问题18】

wKgZomV7te2AUNvTAACTZFUBENU017.png

在vivado软件中烧写比特流时,打开硬件目标找不到JTAG,open target连接不上,显示信息为:INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121

答:1.确认已经链接了下载器?

2.确认开发板已经通电状态?

3.有可能是下载器坏了,可以更换下载器看看?

4.有可能是板子硬件有问题 可以换板子试试看

5.有可能是Vivado的驱动没有安装好,只需要将驱动安装上即可,建议在安装的过程中,将vivado关闭以及Jtag连接器先拔掉,安装驱动成功后在重新开始打开vivado进行连接;否则可能会在安装后也不能正常连接。在点击open target时,请将设备电源打开。

【问题19】

wKgZomV7te2AUGRuAABeZyhg0Nw657.png

答:这是因为我们在添加文件时候,忘记添加NGC文件到工程目录中。下面就演示如何添加NGC文件到工程目录中。NGC文件既要添加到Synthesize的目录下,也要添加到Implement目录下。

第一步:在Synthesize下添加NGC文件

如图在SynSynthesize中选择Process Properities

wKgZomV7te2AY7wzAABqaFxeQWs910.png

在Synthesis Options中可以看到-sd和-vlgincdir。在-sd一栏中添加工程中所有的ngc或的edn文件的路径目录。在-vlgincdir中添加define.v文件的目录。

wKgaomV7te2AeAQBAAB8xMgcYs0558.png

第二步:在到Implement目录下添加NGC文件

如图在Implement Design中选择Process Properities。

wKgaomV7te2AeAQBAAB8xMgcYs0558.png

在Translate Properties中的-sd一栏中添加添加工程中所有的ngc或的edn文件的路径目录否则translate的时候会出错。

wKgaomV7te2AAv4nAACQZ7ifbHk366.png

【问题20】打开MDY的工程后,会提示如下错误信息。

wKgZomV7te2AeshfAAGm_6Jevpo885.png

答:1. 工程中的路径,不要有中文的。

上面提示是IP核找不到。但这些IP核有可能是没有用到的,所以这个错误可以不用理会。

【问题21】打开MDY的工程,IP核如下显示,无法打开。

wKgZomV7te2AYRlhAABoqnvBecc882.png

答:MDY的工程,在分享的时候会减少大小,会删除很多无用的文件。用户下载后,需要重新生成IP核或升级IP核。

方法是在IP核右键,reset IP,然后重新“generate” IP。

另外,不同的VIVADO版本,也会造成此情况,要特别留意。VIVADO版本要保持一致。

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 软件
    +关注

    关注

    67

    文章

    4348

    浏览量

    85622
  • IP核
    +关注

    关注

    4

    文章

    317

    浏览量

    49043
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65100

原文标题:VIVADO软件问题

文章出处:【微信号:Hack电子,微信公众号:Hack电子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    vivado软件的安装教程以及license

    vivado软件的安装教程以及license
    发表于 12-21 19:50

    Vivado SDK软件

    请问有谁用过Xilinx公司的软件Vivado,请问做软件驱动设计的时候如何不使用它的SDK软件而成功的转用keil之类的软件
    发表于 11-12 09:38

    请问支持Windows 8软件Vivado 2013.2的有哪些?

    请告诉我Windows 8软件Vivado 2013.2?
    发表于 11-05 08:38

    Vivado软件菜单基础知识的Xilinx PDF?

    我在Digilent论坛上看到有关于学习Vivado软件菜单基础知识的Xilinx PDF,我在哪里可以找到PDF?此外,当我安装Vivado时,我安装了所有内容,我是初学者,如果我只是安装一个简单的
    发表于 04-30 09:32

    Vivado使用误区与进阶

    Vivado使用误区与进阶》电子书汇集了赛灵思专家团队在客户支持时所碰见的诸多实际案例,以及相对应的解决方案;还有多年总结下来的设计技巧与代码参数详解。是您学习和掌握Vivado开发套件的一本不可多得的实战指导资料。
    发表于 08-03 19:37 84次下载

    利用Vivado HLS加速运行慢的软件

    大,我是否能够利用Vivado HLS完成这项要求较高的运算呢? 我开始从软件方面考虑这个转换,我开始关注软件界面。毕竟,HLS创建专用于处理硬件接口的硬件。幸好Vivado HLS支
    发表于 02-09 02:15 325次阅读

    基于linux系统实现的vivado调用VCS仿真教程

    在linux系统上实现vivado调用VCS仿真教程 作用:vivado调用VCS仿真可以加快工程的仿真和调试,提高效率。 前期准备:确认安装vivado软件和VCS
    的头像 发表于 07-05 03:30 1.1w次阅读
    基于linux系统实现的<b class='flag-5'>vivado</b>调用VCS仿真教程

    Vivado-HLS为软件提速

    本文内容介绍了基于用Vivado-HLS为软件提速,供参考
    发表于 03-26 16:09 8次下载

    Vivado软件仿真DDS核的过程中应该注意的问题

    本人需要利用Vivado软件中的DDS核生成一个正弦信号。由于后期还要生成线性调频信号,如果直接编写代码生成比特流文件下载到板子上进行验证会使工作的效率大大下降,所有想利用Vivado软件
    发表于 07-13 08:32 9528次阅读

    软件更新:Vivado 2019.1 现已开放下载

    软件更新:Vivado 2019.1 现已开放下载
    的头像 发表于 07-02 12:03 1.1w次阅读

    VCS独立仿真Vivado IP核的一些方法总结

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些方法总结》(链接在参考资料1),里面简单讲述了使用VCS仿真Vivado IP核时遇到的一些问题及解决方案,发表之后经过一年多操作上也有
    的头像 发表于 03-22 10:31 3510次阅读

    使用VCS仿真Vivado IP核时遇到的问题及解决方案

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些方法总结》(链接在参考资料1),里面简单讲述了使用VCS仿真Vivado IP核时遇到的一些问题及解决方案,发表之后经过一年多操作上也有些许改进,所以写这篇文章补充下
    的头像 发表于 08-29 14:41 1615次阅读

    Vivado开发软件下板验证教程

    系统性的掌握技术开发以及相关要求,对个人就业以及职业发展都有着潜在的帮助,希望对大家有所帮助。本次带来Vivado系列,使用Vivado开发软件下板验证教程。话不多说,上货。
    的头像 发表于 03-08 14:21 745次阅读

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2554次阅读
    <b class='flag-5'>vivado</b>仿真流程

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1712次阅读
    <b class='flag-5'>vivado</b><b class='flag-5'>软件</b>和modelsim<b class='flag-5'>软件</b>的安装方法