0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado高效设计案例分享

Hx 作者:工程师陈翠 2018-07-12 15:21 次阅读

首先,在这个页面上啰嗦几句。左侧列出了软件不同的版本号,大家根据自己的需要选择相应的版本。中间这一列就是我们需要下载的软件安装包了。目前,Vivado支持windows和linux操作系统。大家可以根据自己的操作系统选择对应的版本进行下载安装,也可以选择All OS Vivado and SDK Full Installer(推荐),这个软件包包含了逻辑开发和嵌入式开发所需的全部工具。友情提醒,大家不闲麻烦的话,可以顺便把Documention Navigator一块下载安装。这个软件可以帮助我们快速浏览Xilinx的所有文档资料。接下来,就是老生常谈的话题了——环境搭建。整个软件的安装过程非常傻瓜式,这里就不浓墨渲染了,有图有真相:

Vivado高效设计案例分享

Vivado高效设计案例分享

Vivado高效设计案例分享

Vivado高效设计案例分享

Vivado高效设计案例分享

Vivado高效设计案例分享

Vivado高效设计案例分享

Vivado高效设计案例分享

蜗牛的速度,整个安装过程耗时半个小时左右(与你电脑的性能有很大的关系),整个安装过程几乎不需要人为干预,因此这段时间可以泡杯茶享受一下生活。安装过程中,会跳出窗口让你选择本机已经安装的MatLab,这是做DSP开发用的,大家暂时取消掉(以后使用可以重新配置)。接近尾声的时候会弹出Xilinx的许可管理器让你安装许可证,相信很多童鞋暂时没有许可文件,所以我们只能无奈的点击X号。接下来,我们就去Xilinx官网获取试用版的许可文件(前提是你已经注册过Xilinx的账号)。注:我这里生成的是HLS评估板License,只是示意,大家根据自己的需求生成相应的License。

在导航栏Support下,点击Get License File,进入如下界面:

Vivado高效设计案例分享

选择Vivado HLS Evaluation License前面的小方框,然后点击下面的Generate Node-Locked License。

Vivado高效设计案例分享

*项为必填项目,我们只需填写第二项系统信息就可以了。点击Select a host下拉列表,选择Add a host,然后根据提示完善相关内容就可以了。大家如果不知道如何获取相关信息的话,教大家一简单方法,运行开始—所有程序—Xilinx Design Tools—Vivado 2013.3—Accessories—Manage Xilinx Licenses,最下面显示了你电脑的相关信息。然后一路next,就可以成功产生试用版的许可文件了。License会自动发到你的邮箱,这时你就可以去自己的邮箱下载到本地了。如果您懒得登录自己的邮箱,也可以在当前页面左下角点击下载图标进行下载,如下图所示:

Vivado高效设计案例分享

终于看到了黎明的曙光,还差一步就功德圆满了。运行开始—所有程序—Xilinx Design Tools—Vivado 2013.3—Accessories—Manage Xilinx Licenses,打开许可管理器,选择Manage Licenses—Load License,然后定位到License所在的位置,最后关闭。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092
收藏 人收藏

    评论

    相关推荐

    Vivado 实现

    先给大家简单快速地介绍一下 Vivado 集成设计环境,即 IDE。当打开 Vivado 工程后,会有一个工程概要,向您介绍工程的设置、警告和错误信息以及工程的一般状态。
    发表于 04-25 09:00 6433次阅读

    Tcl在Vivado中的基础应用

    Xilinx的新一代设计套件Vivado相比上一代产品ISE,在运行速度、算法优化和功能整合等很多方面都有了显著地改进。但是对初学者来说,新的约束语言XDC以及脚本语言Tcl的引入则成为了快速掌握Vivado使用技巧的最大障碍,以至于两年多后的今天,仍有很多用户缺乏升级到
    的头像 发表于 09-14 09:09 1553次阅读

    怎么改变vivado性能

    大家好,据我了解,Vivado仅在合成过程的地点和路线部分使用多线程。那么,真正改变vivado性能的方法(性能=从头开始合成项目的速度+在vivado合成时在计算机上执行其他工作的能力)主要是核心
    发表于 04-25 06:33

    使用VIVADO对7系列FPGA的高效设计心得

    随着xilinx公司进入20nm工艺,以堆叠的方式在可编程领域一路高歌猛进,与其配套的EDA工具——新一代高端FPGA设计软件VIVADO也备受关注和饱受争议。
    发表于 02-11 19:08 5006次阅读

    基于linux系统实现的vivado调用VCS仿真教程

    在linux系统上实现vivado调用VCS仿真教程 作用:vivado调用VCS仿真可以加快工程的仿真和调试,提高效率。 前期准备:确认安装vivado软件和VCS软件 VCS软件最
    的头像 发表于 07-05 03:30 1.1w次阅读
    基于linux系统实现的<b class='flag-5'>vivado</b>调用VCS仿真教程

    Vivado 2017.1和Vivado 2016.4性能对比分析

    此篇文章里,我们将通过使用InTime来检验Vivado 2017.1和Vivado2016.4之间的性能对比。 概要:分别进行了3个Vivado 2017.1对Vivado2016.
    的头像 发表于 07-04 11:23 9734次阅读
    <b class='flag-5'>Vivado</b> 2017.1和<b class='flag-5'>Vivado</b> 2016.4性能对比分析

    Vivado获取License的步骤教程

    无论此刻你是一个需要安装Xilinx Vivado工具链的入门菜鸟,还是已有license过期的Vivado老铁,今儿咱就借着这篇文章,把学习「Vivado如何获取License」这档子事儿给说通透咯~ 手把手教程,分三部分讲述
    的头像 发表于 07-03 09:54 5.9w次阅读
    <b class='flag-5'>Vivado</b>获取License的步骤教程

    用Xilinx Vivado HLS可以快速、高效地实现QRD矩阵分解

    使用Xilinx Vivado HLS(Vivado 高层次综合)工具实现浮点复数QRD矩阵分解并提升开发效率。使用VivadoHLS可以快速、高效地基于FPGA实现各种矩阵分解算法,降低开发者
    发表于 11-17 17:47 3359次阅读
    用Xilinx <b class='flag-5'>Vivado</b> HLS可以快速、<b class='flag-5'>高效</b>地实现QRD矩阵分解

    Tcl在Vivado中的基础应用

    Xilinx的新一代设计套件Vivado相比上一代产品ISE,在运行速度、算法优化和功能整合等很多方面都有了显著地改进。但是对初学者来说,新的约束语言XDC以及脚本语言Tcl的引入则成为了快速掌握
    发表于 11-18 03:52 4705次阅读
    Tcl在<b class='flag-5'>Vivado</b>中的基础应用

    Vivado 2014.1中的许可和激活概述

    了解如何使用2014.1中引入的新激活许可为Vivado工具生成许可证。 另外,了解Vivado 2014.1中的许可更改如何影响您,以及如何在激活客户端中使用新的Vivado License Manager
    的头像 发表于 11-22 07:10 2665次阅读

    使用vivado的过程如何清理/压缩不必要的文件

    作者:材哥,玩儿转FPGA 前言 vivado和ISE的使用差别很大,Vivado是专门针对7系列和以后系列的FPGA/AP SOC进行高效设计的工具,特别是最近提出的UltraFast设计方法
    的头像 发表于 12-25 14:53 8139次阅读
    使用<b class='flag-5'>vivado</b>的过程如何清理/压缩不必要的文件

    【FPGA Vivado】基于 FPGA Vivado 的流水灯样例设计

    【流水灯样例】基于 FPGA Vivado 的数字钟设计前言模拟前言Vivado 设计流程指导手册——2013.4密码:5txi模拟
    发表于 12-04 13:21 26次下载
    【FPGA <b class='flag-5'>Vivado</b>】基于 FPGA <b class='flag-5'>Vivado</b> 的流水灯样例设计

    如何升级Vivado工程脚本

    Vivado可以导出脚本,保存创建工程的相关命令和配置,并可以在需要的时候使用脚本重建Vivado工程。脚本通常只有KB级别大小,远远小于工程打包文件的大小,因此便于备份和版本管理。下面把前述脚本升级到Vivado 2020.2
    发表于 08-02 10:10 1584次阅读

    Tcl在Vivado中的应用

    Xilinx的新一代设计套件Vivado相比上一代产品 ISE,在运行速度、算法优化和功能整合等很多方面都有了显著地改进。但是对初学者来说,新的约束语言 XDC 以及脚本语言 Tcl 的引入则成为
    的头像 发表于 04-15 09:43 1023次阅读

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 5次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南