0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado2018版本中Modelsim的配置

CHANBAEK 来源:新海客 作者:陈一伟 2023-11-08 14:47 次阅读

Vivado自带的仿真工具在一些基本功能的仿真测试时是可以满足的,但如果你的工程较为庞大,那么自带的仿真工具将有些勉强,除了在数据输出方面的卡顿,在仿真速度上也可能无法接受,这里可以借助第三方仿真工具进行工程仿真测试,Vivado2018各版本支持的仿真工具见下:

Vivado Design Suite 2018.3

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高级仿真器 (10.6c)
  • Cadence Incisive 企业仿真器 (IES) (15.20.053)
  • Cadence Xcelium 并行模拟器(18.03.005)
  • Synopsys VCS 与 VCS MX (N-2017.12-SP2)
  • Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

Vivado Design Suite 2018.2

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高级仿真器 (10.6c)
  • Cadence Incisive 企业仿真器 (IES) (15.20.042)
  • Cadence Xcelium 并行模拟器(17.10.005)
  • Synopsys VCS 与 VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

Vivado Design Suite 2018.1

  • Mentor Graphics ModelSim SE/DE/PE (10.6c)
  • Mentor Graphics Questa 高级仿真器 (10.6c)
  • Cadence Incisive 企业仿真器 (IES) (15.20.042)
  • Cadence Xcelium 并行模拟器(17.10.005)
  • Synopsys VCS 与 VCS MX (N-2017.12)
  • Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

个人习惯使用Mentor公司的ModelSim,Vivado2018只支持V10.6C以上版本,下载时需要注意,ModelSim安装在这里不在赘述,推荐安装SE版本,安装完成后需要对Vivado的仿真库编译导入ModelSim,基本配置步骤如下:

1.打开工具菜单,选择仿真库编译;

图片

2.仿真库生成配置可根据需求调整,基本配置如下;

图片

3.编辑一般需要很长一段时间,编译完成后在Tcl Console中会有一个汇总报告,里面说明了支持的仿真模型和编译该模块的基本信息,只需要检查是否有Error存在,若存在需检查ModelSim版本或者Vivado版本重新生成;

图片

生成后需要在工具菜单下的设置仿真工具路径和库文件路径;

图片

此外需要注意,环境变量需要添加MODELSIM变量,变量文件为生成库文件夹里的ModelSim.ini文件

图片

4.第三方仿真工具的调用需要在设置里进行配置,打开工程文件,点击工具菜单,选择设置;

图片

选择仿真面板,里面需要配置仿真工具,Testbench和仿真库文件,必要时需要simulation选项卡里面配置仿真时间;

图片

仿真调用按正常仿真流程执行即可。

图片

图片

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140787
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46756
  • 模拟器
    +关注

    关注

    2

    文章

    817

    浏览量

    42698
  • 仿真分
    +关注

    关注

    0

    文章

    3

    浏览量

    5244
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101
收藏 人收藏

    评论

    相关推荐

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsimvivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 590次阅读
    怎样单独使用<b class='flag-5'>modelsim</b>仿真xilinx呢?

    vivado 修改源代码后,modelsim重新加载波形,如何操作???

    我使用的是vivado软件和modelsim联合仿真,但是每次vivado的测试文件修改之后,我都是关闭modelsim再点击
    发表于 12-15 20:53

    关于Vivadomodelsim仿真常见问题处理

    本帖最后由 六月飞鱼 于 2018-10-16 19:58 编辑 1、vivado里面联合modelsim操作方法分如下三步:a、第一步在modelsim的安装目录下新建文件夹并
    发表于 10-16 19:43

    2018版本labview对应的C Generator

    请问有2018版本的c generator吗,我没有找到,或者有没有其他方法可以将2018版本的VI转成c语言呢?
    发表于 11-29 09:17

    怎么将vivado12.3更新到最新的15.4版本

    你好我有完整版的vivado 2012.3版本,我使用的是DVDalong和ZC 706 Board。我想将软件更新到最新版本。请让我知道这是可能的,免费的。
    发表于 04-14 06:48

    如何在vivado工具Modelsim编译模拟库

    嗨,我需要在vivado工具Modelsim编译模拟库。我需要知道完整的程序。我应该在编译模拟库wiondow为“Compiled Library Block”和“Simulat
    发表于 05-05 12:09

    vivado的仿真器改成modelsim,仿真时modelsim的transcript没法打印出e203实时运行的信息怎么解决?

    原来仿真使用的是vivado simulator,最近将vivado的仿真器改成modelsim,发现仿真的时候modelsim的transcript没法打印出e203实时运行的信息。
    发表于 08-11 09:47

    基于vivado平台和modelsim的仿真和应用测试

    很多人用zynq平台做视频图像开发,但是对vdma了解比较少,上手起来稍微有些困难,我针对这一现象,做了一个基于vivadomodelsim的仿真和应用测试工程,并写篇文章做些介绍,希望能对大家有帮助。
    发表于 06-30 14:33 5409次阅读
    基于<b class='flag-5'>vivado</b>平台和<b class='flag-5'>modelsim</b>的仿真和应用测试

    使用Vivado 2017调用Modelsim的详细步骤

    本次使用的Vivado版本Vivado_2017.3版本,从Xilinx官方文档可以了解到,该版本V
    发表于 03-30 09:51 1.7w次阅读
    使用<b class='flag-5'>Vivado</b> 2017调用<b class='flag-5'>Modelsim</b>的详细步骤

    详解VivadoModelsim关联方法及器件库编译

    vivado中设置modelsim(即第三方仿真工具)的安装路径。在vivado菜单中选择“Tools”——》“Options.。。”,选择“General”选项卡,将滚动条拉倒最底部
    的头像 发表于 04-15 10:10 4778次阅读
    详解<b class='flag-5'>Vivado</b>与<b class='flag-5'>Modelsim</b>关联方法及器件库编译

    Vivado调用Questa Sim或ModelSim仿真小技巧

    Vivado调用Questa Sim或ModelSim仿真中存在的一些自动化问题的解决方案。 Vivado调用Questa Sim仿真中存在的一些问题 首先说明一下Modelsim与Q
    的头像 发表于 09-02 10:12 7388次阅读
    <b class='flag-5'>Vivado</b>调用Questa Sim或<b class='flag-5'>ModelSim</b>仿真小技巧

    VivadoModelSim的联合仿真操作

    Vivado自带的仿真,个人觉得跑一些小模块的仿真还是可以的,不过跑大的仿真系统,容易无体验感,建议用第三方工具,这边就直接对ModelSim下手了,接下来介绍下这两者联合仿真的操作。
    的头像 发表于 03-11 11:32 6400次阅读

    介绍用ModelSim独立仿真带Vivado IP核的仿真方案

    整体步骤基本一样,只是do文件分成了两个文件。使用工具Vivado2017.2 && Modelsim 10.5。
    的头像 发表于 02-06 14:22 2823次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用
    的头像 发表于 07-24 09:04 2001次阅读
    <b class='flag-5'>Vivado</b>调用<b class='flag-5'>Modelsim</b>仿真

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1712次阅读
    <b class='flag-5'>vivado</b>软件和<b class='flag-5'>modelsim</b>软件的安装方法