0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 2023.2版本的新增功能

FPGA开源工坊 来源:FPGA开源工坊 2024-01-02 09:39 次阅读

Vivado在前一段时间更新了2023.2版本,经过一段时间的使用这个版本还是很丝滑的,用起来挺舒服。

特别是增加了一个Lint检查的按钮,可以让我们提前知道RTL设计有什么风险。做数字IC设计的时候写完代码之后可能不是直接就去仿真,而是先拿Spyglass做一次检查,消除掉lint错误之后再拿去仿真,Vivado增加了这个功能,让我们能够更好的去掌控自己的代码。

3d482ac4-a87f-11ee-8b88-92fbcf53809c.png

这个lint检查有什么作用呢,先来看一小段代码。

`timescale 1ns / 1ps
module top(
    input  [7:0] a  ,
    input        b  ,
    output [7:0] c  
    );


always @(*) begin
    if(b == 1'b1)begin
        c = a;
    end
end


endmodule

没有几行代码,直接一看有两处问题,第一是c在always begin end里面进行赋值的,应该用reg类型,第二会产生一个latch。

代码比较少,可以一眼发现问题,如果代码比较多呢,还能一眼发现问题吗?之前版本没有lint检查的时候,我们会拿去直接综合,也许会给我们报一个警告出来,但是又有多少次我们会去一个一个的看这个警告报的什么问题呢?

现在增加了Lint功能,直接点击run一下看看。

3d614c48-a87f-11ee-8b88-92fbcf53809c.png

vivado直接报错了,告诉我们c不是reg类型的。

把c改成reg类型的,代码如下

`timescale 1ns / 1ps
module top(
    input      [7:0] a  ,
    input            b  ,
    output reg [7:0] c  
    );


always @(*) begin
    if(b == 1'b1)begin
        c = a;
    end
end


endmodule

先来综合一下看看,下面是报告。

3d71ed64-a87f-11ee-8b88-92fbcf53809c.png

看起来一点问题都没有,报了一大堆信息,也许你仔细看还能看到其中一条警告说c被综合成一个latch了,要是信息更多的话还会看到他不。

而运行lint之后,会帮我们生成一个表,可以很清晰的看到这些信息:

3d8fbc22-a87f-11ee-8b88-92fbcf53809c.png

再来看另外一个典型的多驱动问题:

`timescale 1ns / 1ps
module top(
    input            clk,
    input      [7:0] a1 ,
    input      [7:0] a2 ,
    input            b  ,
    output reg [7:0] c  
    );


always @(posedge clk) begin
    if(b == 1'b1)begin
        c <= a1;
    end
end


always @(posedge clk) begin
    c <= a2;
end


endmodule

在综合后会有一个关键警告产生,但也不会报错,这个会在之后的布局布线的时候报错。

3e01d65e-a87f-11ee-8b88-92fbcf53809c.png

同样lint也会把这个问题给暴露出来:

3e1ab962-a87f-11ee-8b88-92fbcf53809c.png

还有一些小问题比如位宽不匹配的问题,lint也能帮我们查出来

`timescale 1ns / 1ps
module top(
    input            clk,
    input      [7:0] a  ,
    input            b  ,
    output reg [6:0] c  
    );


always @(posedge clk) begin
    if(b == 3'b101)begin
        c <= a;
    end
end


submodule submodule_inst(
    .a (kkk)
)


endmodule


module submodule (
    output [3:0] a
);
    assign a = 3'b111;
endmodule

不知道大家能一眼看出来这个代码的问题不。

先看看综合的结果:

3e31a064-a87f-11ee-8b88-92fbcf53809c.png

看着似乎没啥问题。

3e4e22c0-a87f-11ee-8b88-92fbcf53809c.png

lint报了一堆位宽不匹配的问题。

先看看第一个kkk的位宽不匹配,可以看到在在submodule这个模块里面输出了一个4bit的变量,但是我们在例化这个模块的时候kkk忘记定义了,这个时候kkk就被隐式推断为了一个1bit的wire类型的变量,这样就出现了潜在的bug。其他几个位宽不匹配也是一样的,当然不是所有的位宽不匹配都是bug,这个就需要我们明确知道哪些是我们故意这样设计的。

通过观察lint报告,可以让我们更加了解自己的设计,让问题发现在最开始的地方,而不是等到最后布局布线了甚至更晚,才发现这种低级的问题。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IC设计
    +关注

    关注

    37

    文章

    1264

    浏览量

    102957
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132161
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59068
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66771
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101

原文标题:Vivado2023.2的一个小功能

文章出处:【微信号:FPGA开源工坊,微信公众号:FPGA开源工坊】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vitis2023.2使用之—— classic Vitis IDE

    Vitis 已经更新到2023.2了,新版本相较于旧版本更新了嵌入式平台,新版平台增加了Versal™ AI 引擎 DSP 设计的增强功能,全新的独立 Vitis 嵌入式软件,最新 V
    发表于 03-24 16:15

    Vitis2023.2使用之—— updata to Vitis Unified IDE

    上一章聊了一下vitis2023.2怎样使用classic Vitis IDE,这章我们来说一说基于classic Vitis IDE的工程怎么样更新到新版本的Vitis Unified IDE
    发表于 03-24 17:14

    通吃所有的版本,有史以来期限最长功能最多的_Vivado_的license文件

    的_Vivado_的license文件!# 2037年之前的任何Vivado版本(包括HLS、AccelDSP、System Generator、软硬CPU、SOC、嵌入式Linux、重配置等等
    发表于 06-19 22:26

    Hanlp1.7版本新增功能一览

    `Hanlp1.7版本在去年下半年的时候就随大快的DKH1.6版本同时发布了,截至目前1.7大版本也更新到了1.7.1了。本篇分别就1.7.0和1.7.1中新增
    发表于 03-22 09:56

    怎么将vivado12.3更新到最新的15.4版本

    你好我有完整版的vivado 2012.3版本,我使用的是DVDalong和ZC 706 Board。我想将软件更新到最新版本。请让我知道这是可能的,免费的。
    发表于 04-14 06:48

    DevEco Studio 3.1 Beta1版本发布——新增六大关键特性,开发更高效

    、开发、编译、调试等功能。2023年2月16日发布的DevEco Studio 3.1 Beta1版本,在Canary1版本基础上,新增以下关键特性:->
    发表于 02-24 11:22

    Xilinx发布Vivado 2013.3 新增全新设计方法及功能

    Xilinx发布Vivado Design Suite 2013.3版本新增最新UltraFast设计方法及新一代即插即用IP和部分重配置功能,丰富设计流程,实现前所未有的IP易用性
    发表于 12-24 17:51 1214次阅读

    赛灵思Vivado设计套件推出2013.1版本,提供IP 集成器和高层次综合功能

    关键词:Vivado , 设计套件 赛灵思公司(Xilinx)今天宣布, 其业界首款可编程SoC级增强型Vivado设计套件的最新版本在生产力方面进行了两大改进。Vivado设计套件2
    发表于 09-25 09:18 288次阅读

    Vivado Design Suite 2015.3新增量编译功能介绍

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-20 06:56 2551次阅读

    Vivado Design Suite 2018.1设计套件中的新增功能介绍

    本视频重点介绍了Vivado设计套件2018.1版本中的新增功能,包括对操作系统以及器件的支持情况,还有高层次增强功能,以及各种
    的头像 发表于 11-20 06:28 2300次阅读
    <b class='flag-5'>Vivado</b> Design Suite 2018.1设计套件中的<b class='flag-5'>新增</b><b class='flag-5'>功能</b>介绍

    Vivado 2015.3中的新增量编译功能介绍

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-29 06:32 3386次阅读

    Vivado 2015.3的新增量编译功能

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-30 19:24 4293次阅读

    Vivado ML(机器学习) 2021尝鲜

    参考:UG973 (v2021.1)图:赛灵思全新 Vivado® ML 版Vivado 2021.1这个新版本新增加的一些特:1、在IP这个层面的
    发表于 12-22 19:12 13次下载
    <b class='flag-5'>Vivado</b> ML(机器学习) 2021尝鲜

    Vivado™ 设计套件 2023.2 版本:加速自适应 SoC 和 FPGA 产品设计

    ,我很高兴为大家详细介绍 AMD 最新发布的 Vivado 设计套件2023.2 ,以及它的更多优势——将帮助设计人员快速实现
    的头像 发表于 11-02 08:10 706次阅读

    Vitis2023.2全新GUI的功能特性介绍

    Vitis2023.2之前就安装过了,vivado 2023.2相比于2023.1区别不明显,但嵌入式平台vitis2023.2的变化很大,有种vscode的既视感,更符合软件开发人员
    的头像 发表于 01-05 09:42 488次阅读
    Vitis<b class='flag-5'>2023.2</b>全新GUI的<b class='flag-5'>功能</b>特性介绍