0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

英特尔推出下一代先进封装用玻璃基板,业界提出质疑

半导体产业纵横 来源:半导体产业纵横 2023-09-19 17:36 次阅读

先进封装竞争不断向细分领域发展。

昨天(18日),英特尔宣布推出业界首款用于下一代先进封装的玻璃基板,计划于2026~2030年量产,凭借单一封装纳入更多的晶体管,预计这将实现更强大的算力(HashRate),持续推进摩尔定律极限,这也是英特尔从封装测试下手,迎战台积电的新策略。

英特尔称该基板材料是一项重大突破,可解决有机材质基板用于芯片封装产生的翘曲问题,突破了现有传统基板的限制,让半导体封装晶体管数量极限最大化,同时更省电、更具散热优势,将用于更高速、更先进的数据中心AI、绘图处理等高端芯片封装。

英特尔指出,该玻璃基板可以承受更高的温度,图案变形减少50%,并具有超低平坦度,可改善曝光深焦,并具有极其紧密的层间互连覆盖所需的尺寸稳定性。

46a631aa-56cd-11ee-939d-92fbcf53809c.png

业者指出,玻璃材质的芯片基板,受惠于低间距及更小的膨胀系数,生产制程具优势,预计相关芯片最早可在2024年年底前生产,抢攻大型数据中心GPU及加速器市场。

英特尔以先进封装延续摩尔定律至2030年,从系统级单芯片(SoC)转向系统级封装(system-in-package),导入嵌入式多芯片互连桥接(EMIB)封装技术、逻辑芯片3D堆叠封装技术(Foveros),此外,新开发的3D封装技术Foveros Omni、Foveros Direct也准备投入量产。

英特尔开发先进封装技术,一方面能够提升芯片密度,目标到2030年在一个封装中,实现1兆个晶体管。另一方面,可以满足自家产品、代工客户产品的异质整合需求,提高晶粒(Chiplet)灵活性、并降低成本和功耗。

公司看好玻璃材质的刚性以及较低的热膨胀系数,英特尔院士暨组装与测试总监Pooya Tadayon指出,玻璃基板有很大优势,用来降低连接线路的间距,适用于大尺寸封装。

Pooya Tadayon表示,使用玻璃材料能够提高芯片供电效率,互连密度可以提高10倍,将带宽近翻倍提升至448G。他强调,玻璃基板将逐渐普及,并与有机材质基板共存。

46c4b3be-56cd-11ee-939d-92fbcf53809c.png

英特尔计划于2026~2030年进入量产阶段,相关业者表示,目前处在实验、送样阶段,加工稳定性仍有待改善。不过法人就先进封装市场依旧保持乐观,并认为市场将快速增长。目前,先进封装多数应用在包括英特尔、AMD英伟达的数据中心芯片,估计2023年合计出货量900万个。

英特尔已规划2024年主流NB用CPU平台Meteor Lake,导入先进封装Foveros技术,在interposer(中间层)上使用4个芯片,预估2024年使用先进封装芯片将10倍数增长至9000万个。

未来,在低延迟和线下使用需求推动下,更可能进一步使用在手机端推理芯片,大量参数的LLM模型需要手机端装上更大面积先进封装的芯片,最快2025年可能导入5.5亿支高端机种,市场需求充满想象。

对攻台积电

这一突破性成果是英特尔为其美国晶圆代工厂增强先进封装能力的另一个迹象,也是英特尔迎战台积电的新策略。

台积电的亚利桑那州晶圆厂计划生产4nm和3nm芯片,但目前并无在亚利桑那州或美国境内打造封装厂的计划,主要卡关因素是成本高昂,因此,这些先进芯片不会在美国完成封装。

英特尔先进封装资深经理Mark Gardner于今年5月份指出,英特尔芯片制造工厂和组装、测试、封装站点分布在世界各地,而台积电大部分芯片制造设施都在台湾地区,英特尔的优势在于提供安全供应链、分散地缘风险,也可提供客户部分IDM流程,弹性选择。

Gardner 称:“英特尔晶圆制造服务愿意让客户只使用服务的一部分,也就是说,他们可以委托其它晶圆代工厂生产芯片,英特尔只做封测。”

业界分析,英特尔下一代玻璃基板先进封装解决方案,可提供更大面积、更具效能的封装服务,此举将掀起全球半导体封装新一波革命,与日月光、安靠等专业封测厂一较高下。

虽然没有透露合作的供应链名单,但英特尔表示,其投入玻璃基板相关研发,并与材料及设备厂紧密合作,希望建构相关生态系。也认为即使有了玻璃基板方案,未来也会跟有机基板方案持续共存,并非完全取代。

业界:量产技术仍不成熟

对于英特尔的玻璃基板,PCB载板厂商表示,量产技术仍不成熟,该技术是否有出海口仍需观察。

载板先前市场已有耳语玻璃基板,目前核心层本来就有特殊玻璃材料且内含在PCB载板,但相关技术仍不成熟,仍在实验室技术开发中。

业界预期,相关技术将在成熟后才能搭配ABF载板或硬板,而且,如果是涉及玻璃基板的封装段则是硅中间层或其它材质的变化,实际和PCB载板厂商生产制程较无关,而是封装部分的材质流程变化。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 英特尔
    +关注

    关注

    60

    文章

    9425

    浏览量

    168851
  • 封装
    +关注

    关注

    124

    文章

    7281

    浏览量

    141102
  • 玻璃基板
    +关注

    关注

    0

    文章

    41

    浏览量

    10132
  • 先进封装
    +关注

    关注

    0

    文章

    270

    浏览量

    90

原文标题:英特尔推出下一代先进封装用玻璃基板,业界提出质疑

文章出处:【微信号:ICViews,微信公众号:半导体产业纵横】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    英特尔公布玻璃芯研发进展,玻璃基板或引领下一代先进封装

    近日,英特尔发表声明展示“业界首款”用于下一代先进封装玻璃
    的头像 发表于 09-24 05:08 2198次阅读
    <b class='flag-5'>英特尔</b>公布<b class='flag-5'>玻璃</b>芯研发进展,<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>或引领<b class='flag-5'>下一代</b><b class='flag-5'>先进</b><b class='flag-5'>封装</b>

    康宁与天马微电子宣布共同推出下一代车载显示屏

    1月9日,康宁官微宣布与天马微电子 (Tianma) 展开新的合作,利用康宁LivingHinge技术推出下一代车载显示屏。
    的头像 发表于 01-10 09:37 626次阅读

    玻璃基板对于下一代多芯片封装至关重要

    ,它认为这是支持人工智能和机器学习等应用实现更高密度、更高性能芯片的关键。 △英特尔展示使用玻璃基板制成的未完成封装 英特尔表示,与现在的有
    的头像 发表于 12-07 15:29 431次阅读

    英特尔玻璃基板将推动算力提升

           在今年9月,英特尔宣布率先推出用于下一代先进封装玻璃
    的头像 发表于 12-06 09:31 242次阅读

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    下一代英特尔玻璃基板封装转型概述

    英特尔还计划引入玻璃通孔技术(TGV),将类似于硅通孔的技术应用于玻璃基板,还推出了Foveros Direct,这是一种具有直接铜对铜键合
    的头像 发表于 10-08 15:36 843次阅读
    <b class='flag-5'>下一代</b><b class='flag-5'>英特尔</b><b class='flag-5'>玻璃</b><b class='flag-5'>基板</b><b class='flag-5'>封装</b>转型概述

    英特尔先进封装玻璃基板技术解析

    有机基板的材料主要由类似 PCB 的材料和编织玻璃层压板制成,允许通过芯片路由相当多的信号,包括基本的小芯片设计,例如英特尔的移动处理器(具有单独的 PCH 和 CPU 芯片)以及 AMD 基于小芯片的 Zen 处理器。
    发表于 09-28 11:29 1371次阅读
    <b class='flag-5'>英特尔</b><b class='flag-5'>先进</b><b class='flag-5'>封装</b>的<b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>技术解析

    英特尔展示先进玻璃基板封装工艺,目标实现单一封装万亿晶体管

    英特尔介绍称,与目前主流的有机基板相比,玻璃具有独特的特性,例如超低平坦度、更好的热稳定性和机械稳定性,从而使基板中的互连密度更高。这些优势将使芯片架构师能够为人工智能(AI)等数据密
    的头像 发表于 09-20 17:45 854次阅读
    <b class='flag-5'>英特尔</b>展示<b class='flag-5'>先进</b><b class='flag-5'>玻璃</b><b class='flag-5'>基板</b><b class='flag-5'>封装</b>工艺,目标实现单一<b class='flag-5'>封装</b>万亿晶体管

    满足更高算力需求,英特尔率先推出用于下一代先进封装玻璃基板

    玻璃基板有助于克服有机材料的局限性,使未来数据中心和人工智能产品所需的设计规则得到数量级的改进。 英特尔宣布在业内率先推出用于下一代
    的头像 发表于 09-20 17:08 234次阅读

    英特尔突破下一代半导体封装玻璃基板,应用在大尺寸封装领域

    日前有消息称,英特尔公司最近取得突破性的技术创新,推出了针对下一代半导体封装玻璃基板。 据悉,
    的头像 发表于 09-20 10:39 600次阅读

    英特尔推出玻璃基板计划:重新定义芯片封装,推动摩尔定律进步

    当地时间9月18日,芯片制造商英特尔公司宣布,在用于下一代先进封装玻璃基板开发方面取得重大突破
    的头像 发表于 09-20 08:46 571次阅读
    <b class='flag-5'>英特尔</b><b class='flag-5'>推出</b><b class='flag-5'>玻璃</b><b class='flag-5'>基板</b>计划:重新定义芯片<b class='flag-5'>封装</b>,推动摩尔定律进步

    英特尔展示下一代玻璃基板互连密度提高10倍

    行业芯事行业资讯
    电子发烧友网官方
    发布于 :2023年09月19日 10:54:21

    掀半导体封装革命 英特尔展示先进玻璃基板工艺

    根据英特尔的计划,这一最新的先进封装将在2026年至2030年大量生产,并将被引入到数据中心、人工智能、图像和其他领域,这些领域需要更大的包装、更快的应用程序和工作负荷。
    的头像 发表于 09-19 09:36 386次阅读

    英特尔先进封装:彻底改变芯片封装技术

    英特尔通过使用玻璃基板作为更有效的替代品,同时降低成本。
    的头像 发表于 07-03 09:58 684次阅读

    英特尔正在研发玻璃材质的芯片基板

    据外媒EE Times报道,英特尔正在研发玻璃材质的芯片基板,以解决目前有机材质基板用于芯片封装存在的问题。
    的头像 发表于 06-30 11:30 765次阅读