0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

背面供电成先进制程竞争又一技术高地,英特尔先发制人,台积电、三星加码跟进

Robot Vision 来源:电子发烧友网 作者:李宁远 2023-09-03 00:01 次阅读
电子发烧友网报道(文/李宁远)半导体芯片制造领域制程工艺的角逐从来未曾停歇,白热化的竞争不断挑战着摩尔定律的极限。随着摩尔定律的演进,晶体管越来越小,密度越来越高,堆栈层数也越来越多。
现今的逻辑半导体具有10至15层甚至更多的多层布线,细信号线和粗电源线混合在多层布线中,线路层越来越混乱。为了解决芯片设计线路层混乱的问题,背面供电网络BSPDN技术的应用受到越来越多的关注。
探索背面供电,解决芯片线路设计两难
随着半导体技术的飞速发展,先进制程的角逐已经围绕着5nm以下的工艺展开。随着制程节点由5nm向3nm、2nm发展和演进,芯片制造的难度逐步逼近摩尔定律的物理极限,从制程进步中获得芯片性能提升的难度和成本越来越高。
在越来越小的晶体管中,堆栈层数越来越多,信号线和电源线在多层布线中混合在一起用于连接晶体管与金属层。在越来越有限的芯片面积内,电源线进一步缩小会带来IR Drop问题,晶体管得不到充足的电力供应。
但是如果选择保证电源线厚度避免电压降,又会占用更多片内信号线的布线空间。在以前的制程节点中,这一问题并没有充分暴露出来,而线路层的信号线和电源线设计在3nm、2nm以及更小制程节点的演进下陷入两难的境地。
传统的供电线路是在正面进行布线,这种供电技术会影响到金属层的资源的分配,在电路设计越来越复杂的情况下如何处理信号网络跟供电网络之间的资源排挤问题,是芯片进一步微缩的关键,也是芯片制造面临的主要挑战之一。
为了解决这一两难的困境,业界不断探索着新的芯片供电网络方案。背面供电网络BSPDN技术正是解决芯片线路设计两难境地的革命性技术。
背面供电技术BSPDN该技术最早于2019年IMEC研讨会上被提出,是在晶体管三维结构上进行创新,挖掘晶圆背面空间的潜力,将原先和晶体管一同排布的供电网络直接转移到晶体管的背面重新排布。
供电问题在晶圆背面解决,IR Drop效应明显下降,芯片性能得以改善,芯片的正面只需要专注于信号互连。
背面供电技术能带来的优势远远不止解决供电问题IR Drop这么单一,物理设计上的改善,能够大幅提高芯片利用率,减少时序拥堵等问题;背面供电同时缩减了金属线长,这意味着时钟功耗能够降低、缓冲器数量减少和主动功耗降低。
综合这些所有的优势点,采用背面供电技术和采用传统供电技术相比,芯片的性能、能效等系统级指标均能够大幅提升,功耗、面积得以进一步降低。
在2nm赛道摩拳擦掌的台积电、三星以及英特尔,均在后续的开发路线图上提及了背面供电技术这一方向。在2nm及以下的制程节点的竞争上,背面供电技术无疑是分量很重的一项布局。
巨头竞争,抢攻背面供电技术高地
从目前布局开发背面供电技术几家巨头的进度来看,英特尔是在这条赛道上最激进也有望最先落地背面供电技术应用的一方。根据英特尔目前已经公布的信息,其独家的背面供电技术PowerVia将用于18A、20A工艺节点,并且英特尔已经率先在产品级测试芯片上实现了背面供电。
英特尔官方宣布该技术将在2024年20A制程节点上正式落地应用,这比三星和台积电暂定的背面供电技术推出时间都要早。
根据英特尔的测试,PowerVia将平台电压降低了30%,并带来了6%的频率增益。同时测试中芯片大部分区域的标准单元利用率都超过了90%,同时晶体管体积缩小,单元密度大幅增加。为此,英特尔还开发了新的散热技术保证散热。
当前背面供电技术还面临着良率和可靠性等方面的挑战,不过从英特尔目前已经公布的测试来看,PowerVia的良率和可靠性已经较为成熟。所以英特尔在明年正式使用PowerVia背面供电技术配合20A制程节点上线把握性还是很大的。
在先进制程的竞争中,三星同样不甘示弱,其背面供电技术已经在紧锣密鼓地开发中。根据相关消息,三星目前正在针对背面供电技术做市场需求调查,在确保需求充分后,背面供电技术可能会应用到计划于2025年量产的2nm中以解决使用2nm工艺造成的布线拥塞问题,计划于2027年量产的1.4nm工艺使用背面供电技术是可以确定的。
根据三星披露的其研发的背面供电技术测试数据,两个基于ARM架构的处理器,采用背面供电技术后,相比采用传统供电方式,芯片面积分别缩小了10.6%和19%。这意味着内部的集成度和性能都能有不小的提升。同时,背面技术的应用使布线长度减少了接近10%,这也能带来额外的性能优势。
作为另一家巨头,台积电也在背部供电上进行着布局,但在技术推进上稍显保守。根据台积电此前公布的规划,台积电会在2026年推出一个N2P制程节点,同时在这个工艺上,背面供电技术将被引入进来。
根据台积电透露的相关技术数据,N2P制程技术将通过背后供电技术能够有效减少IR Drop和改善信号,芯片性能提高了10%—12%,并将逻辑面积减少10%—15%。虽然推出时间有些落后,但在背面供电技术的前期准备上,台积电已经做了不少相关的技术储备。
三家巨头中目前在背面供电技术最领先的无疑是英特尔,不论是从披露的相关技术进度、成熟度,还是从量产落地时间来看,英特尔目前都还是很有优势的,相比其他厂商有着两年左右的领先。尤其是在良率和可靠性上,英特尔目前是最具把握性的。
先进制程的角逐,将在2025年之后,各家2nm以及更先进节点芯片量产后进入白热化。而背面供电技术的成熟度无疑会影响各个巨头在先进制程角逐中的话语权。
小结
虽然它的实现涉及调试、散热等诸多难度很高的挑战,但背面供电技术能够带来的优势同样非常明显,使用背面供电技术的芯片在性能和能效上获得极大的提升,继续逼近着摩尔定律的极限。
用更少的能量实现多的扩展性能,该技术成熟后能给半导体工艺带来的改变,或许不止这些现在已经被发掘出来的优势。背面供电技术也被视为继续开发更精细工艺节点技术的基本技术,成为现在芯片晶圆厂正在全力竞争又一个技术高地。
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 英特尔
    +关注

    关注

    60

    文章

    9428

    浏览量

    168912
  • 台积电
    +关注

    关注

    43

    文章

    5284

    浏览量

    164817
收藏 人收藏

    评论

    相关推荐

    总裁魏哲家:三星英特尔永远赶不上台

    行业芯事行业资讯
    深圳市浮思特科技有限公司
    发布于 :2024年03月04日 16:46:28

    英特尔1nm投产时间曝光!领先于

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月28日 16:28:32

    英特尔宣布推进1.4纳米制程

    ,台积电和三星已经推出3纳米制程芯片,而英特尔则刚刚实现了5纳米制程。然而,这一决定表明英特尔有意在制程
    的头像 发表于 02-23 11:23 213次阅读

    芯片先进制程之争:2nm战况激烈,1.8/1.4nm苗头显露

    随着GPU、CPU等高性能芯片不断对芯片制程提出了更高的要求,突破先进制程技术壁垒已是业界的共同目标。目前放眼全球,掌握先进制程技术的企业主
    的头像 发表于 01-04 16:20 367次阅读
    芯片<b class='flag-5'>先进制程</b>之争:2nm战况激烈,1.8/1.4nm苗头显露

    英特尔宣布完成PowerVia背面供电技术的开发

    英特尔在2023年国际电子设备制造大会上宣布,他们已经成功完成了一项名为PowerVia的背面供电技术的开发。这个技术是基于
    的头像 发表于 12-11 16:10 529次阅读
    <b class='flag-5'>英特尔</b>宣布完成PowerVia<b class='flag-5'>背面</b><b class='flag-5'>供电</b><b class='flag-5'>技术</b>的开发

    台积电、三星英特尔先进制程竞争白热化

    英特尔执行长PatGelsinger 透露,18A 已取得三家客户代工订单,希望年底前争取到第四位客户,先进制程18A 计划于2024 年底开始生产,其中一位客户已先付款,外界预期可能是英伟达或高通。
    的头像 发表于 11-19 10:08 845次阅读
    台积电、<b class='flag-5'>三星</b>、<b class='flag-5'>英特尔</b><b class='flag-5'>先进制程</b><b class='flag-5'>竞争</b>白热化

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

    合作伙伴关系。 英特尔和新思科技(Synopsys)近日宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的I
    发表于 09-12 16:36 206次阅读
    <b class='flag-5'>英特尔</b>和新思科技深化合作,提供基于<b class='flag-5'>英特尔</b><b class='flag-5'>先进制程</b>节点的领先IP

    英特尔开始加码封装领域

    ,将其最先进的3D Foveros封装产能扩增至目前的四倍,同时还向客户开放其先进封装解决方案,使其能够灵活选择。 外界普遍预测,随着英特尔整合了先进制程
    的头像 发表于 08-24 15:57 258次阅读

    英特尔在芯片中实现背面供电

    英特尔表示,它是业内第一个在类似产品的测试芯片上实现背面供电的公司,实现了推动世界进入下一个计算时代所需的性能。PowerVia 将于 2024 年上半年在英特尔 20A 工艺节点上推
    的头像 发表于 06-20 15:39 370次阅读

    英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

    英特尔宣布在业内率先在产品级测试芯片上实现背面供电(backside power delivery)技术,满足迈向下一个计算时代的性能需求。作为英特
    的头像 发表于 06-09 20:10 209次阅读

    王炸,英特尔PowerVia芯片背面供电即将量产,遥遥领先三星和台积电

    Kobrinsky还将阐述英特尔对PowerVia更先进部署方法的研究成果,如同时在晶圆正面和背面实现信号传输和供电。 PowerVia将于2024年上半年在Intel 20A
    的头像 发表于 06-07 16:56 724次阅读

    英特尔PowerVia技术率先实现芯片背面供电,突破互连瓶颈

    delivery)技术,满足迈向下一个计算时代的性能需求。作为英特尔业界领先的背面供电解决方案,PowerVia将于2024年上半年在Intel 20A
    的头像 发表于 06-06 16:22 347次阅读

    MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

    %。西安二厂预计将生产13.5万片,比之前的14.5万片减少了约7%。业界观察人士认为,三星选择砍掉部分NAND产能,因为当前内存市场形势惨淡。 【28nm设备订单全部取消!】
    发表于 05-10 10:54

    芯片行业,何时走出至暗时刻?

    意味着该行业的低迷会持续更久。 但也有分析师补充称,的业绩可能最早会在第季度反弹,与苹果、英伟达和AMD预测的季度前景改善相对应。 在芯片方面,据财报披露,5纳米
    发表于 05-06 18:31