0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CDC跨时钟域处理及相应的时序约束

冬至子 来源:FPGA探索者 作者:FPGA探索者 2023-06-21 14:59 次阅读

**CDC(Clock Domain Conversion)**跨时钟域分单bit和多bit传输,其中:

1. 单bit(慢时钟域到快时钟域) :用快时钟打两拍,直接采一拍大概率也是没问题的,两拍的主要目的是消除亚稳态;

其中:

(1)为了更长的平均无故障时间 MTBF( Mean Time Between Failures), 需要配合一个 ASYNC_REG 的约束 ,把用作简单同步器的多个寄存器放入同一个 SLICE,以降低走线延时的不一致和不确定性。

(* ASYNC_REG = "TRUE" *) reg rst_reg_0;
(* ASYNC_REG = "TRUE" *) reg rst_reg_1;

(2)或者:直接在约束文件里进行约束

set_property ASYNC_REG TRUE [get_cells [list rst_reg_0 rst_reg_1]]

2. 单bit(快时钟域到慢时钟域) :握手(脉冲展宽)、异步FIFO、异步双口RAM;快时钟域的信号脉宽较窄,慢时钟域不一定能采到,可以通过握手机制让窄脉冲展宽,慢时钟域采集到信号后再“告诉”快时钟域已经采集到信号,确保能采集到;

3. 多bit跨时钟域异步FIFO 、异步双口RAM、握手、格雷码;

(1)使用异步FIFO的IP

实际上是用 FPGA 内部的 BRAM 来搭建,所有的控制逻辑都在 BRAM 内部,是推荐的 FIFO 实现方式。

时序约束简单,进行时序例外约束, 只需要 set_clock_groups 将读写时钟约束为异步时钟组即可 ,简单高效。

set_property -asynchronous  -group [get_clocks write_clock] \\
                            -group [get_clocks read_clock]

(2)自己写外部控制逻辑的FIFO

格雷码做异步 FIFO 的跨时钟域处理,计数器和读写控制逻辑在 BRAM 或者 RAM 的外部,除了代码的合理设计以外,还需要进行额外的时序例外约束,不能简单使用 set_clock_groups 约束异步时钟组,还需要考虑外部的读写逻辑的约束。

Xilinx建议这里设置set_max_delay来约束跨时钟域路径,约束的原则是:最大路径延时等于或者略小于目的时钟的一个周期。

写逻辑从cell1到cell2的约束中,cell2的驱动时钟周期为5,如下所示,读逻辑约束进行相应约束。

set_max_delay 5from [get_cells cell1]to [get_cells cell2] –datapath_only

多bit中,强烈推荐使用异步FIFO的IP来实现 ,我在实际工程中使用多次,简单方便。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA设计
    +关注

    关注

    9

    文章

    425

    浏览量

    26273
  • RAM
    RAM
    +关注

    关注

    7

    文章

    1321

    浏览量

    113706
  • CDC
    CDC
    +关注

    关注

    0

    文章

    55

    浏览量

    17671
  • 同步器
    +关注

    关注

    1

    文章

    78

    浏览量

    14489
  • FIFO存储
    +关注

    关注

    0

    文章

    102

    浏览量

    5894
收藏 人收藏

    评论

    相关推荐

    FPGA时序约束之衍生时钟约束时钟分组约束

    在FPGA设计中,时序约束对于电路性能和可靠性非常重要。在上一篇的文章中,已经详细介绍了FPGA时序约束的主时钟
    发表于 06-12 17:29 1439次阅读

    时钟时钟约束介绍

    ->Core Cock Setup:pll_c0为(Latch Clock) 这两个是时钟时钟,于是根据文中总结:对于
    发表于 07-03 11:59

    时序约束时钟约束

    vivado默认计算所有时钟之间的路径,通过set_clock_groups命令可禁止在所标识的时钟组之间以及一个时钟组内的时钟进行时序分析
    发表于 09-21 12:40

    如何处理好FPGA设计中时钟问题?

    时钟处理是 FPGA 设计中经常遇到的问题,而如何处理
    发表于 09-22 10:24

    探寻FPGA中三种时钟处理方法

    时钟处理是 FPGA 设计中经常遇到的问题,而如何处理
    发表于 10-20 09:27

    三种时钟处理的方法

      时钟处理是FPGA设计中经常遇到的问题,而如何处理
    发表于 01-08 16:55

    三种FPGA界最常用的时钟处理法式

    时钟处理是FPGA设计中经常遇到的问题,而如何处理
    发表于 02-21 07:00

    FPGA初学者的必修课:FPGA时钟处理3大方法

    时钟处理是FPGA设计中经常遇到的问题,而如何处理
    发表于 03-04 09:22

    关于cdc时钟处理的知识点,不看肯定后悔

    关于cdc时钟处理的知识点,不看肯定后悔
    发表于 06-21 07:44

    如何处理好FPGA设计中时钟间的数据

    时钟处理是FPGA设计中经常遇到的问题,而如何处理
    发表于 07-29 06:19

    如何处理时钟间的数据呢

    时钟处理是什么意思?如何处理时钟
    发表于 11-01 07:44

    FPGA时钟处理简介

    (10)FPGA时钟处理1.1 目录1)目录2)FPGA简介3)Verilog HDL简介4)FPGA
    发表于 02-23 07:47

    看看Stream信号里是如何做时钟握手的

    ,同时准备接受新的任务(input。fire),否则需要等目标mm处理完之前的任务(stream.fire)。在最后,为时序优化stream做m2spipe输出至output时钟
    发表于 07-07 17:25

    调试FPGA时钟信号的经验总结

    1、时钟信号的约束写法  问题一:没有对设计进行全面的约束导致综合结果异常,比如没有设置异步时钟
    发表于 11-15 14:47

    XDC约束技巧之CDC

    上一篇《XDC 约束技巧之时钟篇》介绍了 XDC 的优势以及基本语法,详细说明了如何根据时钟结构和设计要求来创建合适的时钟约束。我们知道 X
    的头像 发表于 04-03 11:41 1280次阅读