0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Verdi使用技巧(二)

CHANBAEK 来源:跟IC君一起学习集成电路 作者:IC君 2023-04-25 14:42 次阅读

上一篇文章IC君跟大家分享了Verdi使用技巧——连续有效信号量测方法。 有不少网友在文章后留言或者微信给我留言,提供了一些其它方法。 不得不说广大人民群众的智慧才是无穷无尽的,大家一起交流才能取得更大的进步!

首先补充一下其他网友给我提供的测量连续有效信号的一些方法。

网格法:

点击菜单里面的view选项,选择Grid Options,如下图所示:

wKgaomRHdjyAR9UxAADUP3e37Gc540.jpg

选中选项Grid on 以及选项 Grid Counter with Start Number,如下图所示,点击Apply或者OK之后,就可以看到波形里面出现了网格,最下面有数字出现。 这种方法还有一个好处是鼠标左键点到CLK信号的任意位置,计数都是从新的地方开始,具有很强的灵活性。

wKgZomRHdjyAe1lBAADeiRvlLvc695.jpg

自动添加计数器:

右击CLK信号,选择Add Counter Signal by,选择上升沿、下降沿、任意沿中的一个,

wKgZomRHdjyAT7kXAAEscQanaJ8403.jpg

就会自动产生一个16进制的计数信号,不过它的计数起点是从CLK的0时刻开始,不能选择任意时刻,所以缺乏灵活性。

wKgaomRHdjyAc5UPAAAHk5j5p-o466.jpg

这两种方法更推荐第一种网格法,看起来很强大,大家可以继续探索探索。

但是实际debug过程中,更常遇到的场景是非连续有效的信号,如下图所示:CLK并不是在每个时刻都是有效的,这时我们又该如何比较快速准确的获知某个时间段内有效信号(CLK)个数呢?

wKgZomRHdjyAUHFaAABHpgqMqkg807.jpg

跟获取连续有效信号个数一样,如果某个时间段内有效信号个数较少(比如少于10个),直接手动数就可以了。 当有效信号toggle次数比较多时,肉眼无法快速看出数量的时候,我们可以利用Verdi nWave里面的Logical operation 和Signal Event report 这两个功能来实现。

对于非连续有效数据传输,通常会有一个信号来标志哪笔数据是有效的,如上图中的valid_in信号为1时表示data_in数据有效。 利用这个标志信号跟时钟进行“与”操作,就得到一个新的时钟。 这个新的时钟只有在数据有效时才有,在数据无效时被遮掉了。 再通过Signal Event report获知指定时间内新的时钟个数,就可以知道有效数据的个数了。

具体操作步骤如下:

1.鼠标选中时钟信号clk和数据有效标志信号valid_in;

2.点开nWave 菜单里面的Signal,再选择下拉菜单里的Logical Operation,如下图:

wKgaomRHdjyAEKBhAADTEiJDoA0187.jpg

3.在弹出的对话框里面,如下图所示,确认Expression 里的信号操作是”&”; 把Name改成valid_in_clk; 然后点击Create/Modify按钮;

wKgaomRHdjyAdyygAACHLg6HTKU885.jpg

再回来看波形,如下图所示,就会发现多了一个信号valid_in_clk,这个信号就是我们需要的新时钟信号。

wKgZomRHdjyAHWGqAABL1alFbTw301.jpg

4.接下来就是Signal Event Report闪亮登场了。 选中valid_in_clk, 然后在View下拉菜单里面选Signal Event Report。 如下图所示,在弹出的对话框里,找到Falling#这一列,里面列的数字16就是我们指定的时间段内有效的数据个数了。

wKgaomRHdjyADqG7AADxgjUCiQA917.jpg

如果还是半信半疑的同学,可以手动数一数,看看Logical Operation加Signal Event Report这套组合拳的结果是否正确,哈哈~

用Logical Operation和Signal Event Report来量测非连续有效信号的方法就介绍到这里了,大家都学会了吗?

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 信号
    +关注

    关注

    11

    文章

    2641

    浏览量

    75393
  • 计数器
    +关注

    关注

    32

    文章

    2126

    浏览量

    93008
  • Verdi
    +关注

    关注

    0

    文章

    21

    浏览量

    8694
  • signal
    +关注

    关注

    0

    文章

    110

    浏览量

    24763
  • 时钟信号
    +关注

    关注

    4

    文章

    374

    浏览量

    28084
收藏 人收藏

    评论

    相关推荐

    Verdi 54v7 LINUX

    Verdi 54v7 LINUX
    发表于 03-25 13:19 9次下载

    verdi-SP2软件下载

    本文档内容提供了verdi-SP2软件免费下载,供有需要的朋友参考
    发表于 04-19 17:28 7次下载

    Verdi使用技巧 连续有效信号量测方法

    Verdi自动化调试系统是Verdi SoC调试平台的核心,它支持对所有设计和验证流程进行全面的调试。它包括强大的技术,帮助您理解复杂和不熟悉的设计行为,让困难和乏味的调试过程自动化,并能让多样和复杂的设计环境一致化。
    的头像 发表于 05-15 15:46 9275次阅读
    <b class='flag-5'>Verdi</b>使用技巧 连续有效信号量测方法

    vcs和verdi的调试及联合仿真案例

    若想用Verdi观察波形,需要在仿真时生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通过两个系统调用$fsdbDumpfile $fsdbDumpvars来实现的。
    的头像 发表于 09-22 15:01 7885次阅读

    如何通过自动化脚本实现Questasim和Verdi的联合仿真

    Verdi是用来仿真以及debug波形的工具,但它不能够用来编译verilog和systemverilog文件,所以需要借助第三方EDA工具去做编译的工作。 这里主要分享一下使用questasim
    的头像 发表于 06-13 17:00 3904次阅读
    如何通过自动化脚本实现Questasim和<b class='flag-5'>Verdi</b>的联合仿真

    分享《verdi用法小结》的pdf

    分享Verdi用法小结的pdf文档
    的头像 发表于 02-18 20:21 830次阅读
    分享《<b class='flag-5'>verdi</b>用法小结》的pdf

    Verdi使用技巧(三)

    前一段时间IC君比较忙,没有更新文章,最近稍微闲下来点,继续更新Verdi相关的文章。
    的头像 发表于 04-25 14:44 3318次阅读
    <b class='flag-5'>Verdi</b>使用技巧(三)

    全网最实用的Verdi教程1

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:49 1.4w次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程1

    全网最实用的Verdi教程2

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:53 4971次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程2

    全网最实用的Verdi教程3

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:53 3764次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程3

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的头像 发表于 05-08 16:00 4563次阅读
    如何用vcs+<b class='flag-5'>verdi</b>仿真Verilog文件并查看波形呢?

    如何用vcs+verdi仿真Verilog文件

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件内容如下:
    的头像 发表于 05-11 17:03 1457次阅读
    如何用vcs+<b class='flag-5'>verdi</b>仿真Verilog文件

    Verdi环境配置、生成波形的方法

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式进行代码的仿真与检查
    的头像 发表于 05-29 09:48 2315次阅读
    <b class='flag-5'>Verdi</b>环境配置、生成波形的方法

    Verdi连续波激光器-Coherent

    描述: Verdi系列激光器,是基于OPSL专利技术,是高功率的532nm绿光激光器。常用于钛宝石激光器泵浦、全息、干涉、冷原子等领域。 Verdi系列激光器,是久负盛名的激光器,具有非常出色
    的头像 发表于 06-30 09:47 275次阅读
    <b class='flag-5'>Verdi</b>连续波激光器-Coherent

    推出新一代绿光激光器 - VERDI C

    这种紧凑型多功率连续波绿光激光器简化了钛宝石泵浦、半导体检测和退火等应用。 科学家和原始设备制造商都将受益于新的紧凑型 Verdi C 系列多功率连续波绿光(532 纳米)激光器。 这是因为作为
    的头像 发表于 03-21 06:34 130次阅读
    推出新一代绿光激光器 - <b class='flag-5'>VERDI</b> C