0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何通过自动化脚本实现Questasim和Verdi的联合仿真

FPGA之家 来源:芯设计 作者:芯设计 2021-06-13 17:00 次阅读

Verdi是用来仿真以及debug波形的工具,但它不能够用来编译verilog和systemverilog文件,所以需要借助第三方EDA工具去做编译的工作。

这里主要分享一下使用questasim和verdi的联合仿真。

由于verdi工具支持FSDB格式的波形文件,所以在仿真时要把文件的信号转存到FSDB格式的波形文件中,这里需要借助一个共享的库文件:novas_fli.so.它通常位于目录:

《VERDI_HOME》/share/PLI/MODELSIM/${PLATFORM}。

首先需要把上面的库文件路径添加到系统的环境变量LD_LIBRARY_PATH 中,如下:

setenv LD_LIBRARY_PATH ${VERDI_HOME}/share/PLI/MODELSIM/${PLATFORM}:$LD_LIBRARY_PATH

然后在仿真的时候,命令行中添加如下:

vsim -pli novas_fli.so work.test do dump_fsdb_questa.tcl +fsdb+autofluash

dump_fsdb_questa.tcl的内容如下:

fsdbDumpfile “test.fsdb”fsdbDumpvars 0 “test”run

02

举例说明

比如要验证一个简单的全加器,其Makefile的自动化脚本如下:

bafd40c0-c5fc-11eb-9e57-12bb97331649.png

在dump_fsdb_questa.tcl的内容如下:

bb104df0-c5fc-11eb-9e57-12bb97331649.png

在terminal中输入下面指令(运行编译和仿真):

make all

编译仿真通过后,会在在当前的工作目录中生成:TESTCASE01.fsdb文件。

之后在terminal中输入下面指令(打开波形文件):

make run_verdi

本篇文章主要分享了如何通过自动化脚本的方式实现Questasim和Verdi的联合仿真教程。

原文标题:Questasim和Verdi的联合仿真教程

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132167
  • eda
    eda
    +关注

    关注

    71

    文章

    2540

    浏览量

    170888
  • Verdi
    +关注

    关注

    0

    文章

    21

    浏览量

    8694
  • questasim
    +关注

    关注

    0

    文章

    5

    浏览量

    7438

原文标题:Questasim和Verdi的联合仿真教程

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    通过Python脚本实现WIFI密码的自动猜解

    本文将记录学习下如何通过 Python 脚本实现 WIFI 密码的自动猜解。
    的头像 发表于 01-25 10:46 743次阅读
    <b class='flag-5'>通过</b>Python<b class='flag-5'>脚本</b><b class='flag-5'>实现</b>WIFI密码的<b class='flag-5'>自动</b>猜解

    HamronyOS自动化测试框架使用指南

    (resolve, time)); } }) } 说明 只支持应用内使用。暂不支持应用外的场景实现自动化,例如与权限弹窗和 SystemUi 上的控件进行交互。 执行测试脚本 执行测试脚本
    发表于 12-19 10:26

    LabVIEW与Tektronix示波器实现电源测试自动化

    LabVIEW与Tektronix示波器实现电源测试自动化 在现代电子测试与测量领域,自动化测试系统的构建是提高效率和精确度的关键。本案例介绍了如何利用LabVIEW软件结合Tektronix
    发表于 12-09 20:37

    keil自动化编译脚本

    这是一个 keil 的自动化编译脚本,可被其他脚本或程序调用,接收参数并按参数编译 keil 工程,而不必打开 keil 软件,实现程序上的自动化
    的头像 发表于 10-16 17:04 596次阅读
    keil<b class='flag-5'>自动化</b>编译<b class='flag-5'>脚本</b>

    Questasim与Visualizer的livesim仿真如何启动呢?

    Live-Simulation (live-sim)模式允许Visualizer调试环境与Questasim进行交互操作,此模式将Visualizer GUI与Questasim仿真相结合,因此可以在线调试当前
    的头像 发表于 10-10 11:33 298次阅读
    <b class='flag-5'>Questasim</b>与Visualizer的livesim<b class='flag-5'>仿真</b>如何启动呢?

    modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

    ,然后编译,再添加波形,这样是非常麻烦的。在实际工作中一般不会这样操作,通常我们都会利用脚本实现modelsim的“自动化”编译。实现一键完成电脑
    的头像 发表于 07-19 10:10 1069次阅读
    modelsim<b class='flag-5'>自动化</b><b class='flag-5'>仿真</b>实验 利用<b class='flag-5'>脚本</b><b class='flag-5'>实现</b>modelsim<b class='flag-5'>自动化</b><b class='flag-5'>仿真</b>

    MES50HP——PDS与Modelsim联合仿真教程

    ,目前支持ModelSim和QuestaSim,本教程选择ModelSim; 【Language】:仿真库用的语言; 【Library】:选择 usim 则是 GTP 前仿库,vsim 则是 VOP 后
    发表于 06-26 10:45

    干货分享 | TSMaster标定模块自动化控制应用指南

    二、标定自动化控制场景与TSMaster实例2.1C脚本实现控制标定模块的启动与关闭的设计2.2C脚本代码实现2.3测试与验证C
    的头像 发表于 06-21 17:45 509次阅读
    干货分享 | TSMaster标定模块<b class='flag-5'>自动化</b>控制应用指南

    Verdi环境配置、生成波形的方法

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式进行代码的
    的头像 发表于 05-29 09:48 2315次阅读
    <b class='flag-5'>Verdi</b>环境配置、生成波形的方法

    如何用vcs+verdi仿真Verilog文件

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件内容如下:
    的头像 发表于 05-11 17:03 1457次阅读
    如何用vcs+<b class='flag-5'>verdi</b><b class='flag-5'>仿真</b>Verilog文件

    使用Vivado调用questasim仿真报错的原因及其解决办法

    有一天使用Vivado调用questasim(modelsim估计也一样),仿真报错
    的头像 发表于 05-08 17:12 1898次阅读

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的头像 发表于 05-08 16:00 4563次阅读
    如何用vcs+<b class='flag-5'>verdi</b><b class='flag-5'>仿真</b>Verilog文件并查看波形呢?

    全网最实用的Verdi教程3

    设计和验证流程进行全面的调试。它能够帮助工程师理解复杂和不熟悉的设计行为,让困难和乏味的调试过程自动化,并能让多样和复杂的设计环境一致化。在芯片设计过程中,可以根据仿真结果检查或者理解设计;在芯片验证
    的头像 发表于 05-05 14:53 3764次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程3

    全网最实用的Verdi教程2

    设计和验证流程进行全面的调试。它能够帮助工程师理解复杂和不熟悉的设计行为,让困难和乏味的调试过程自动化,并能让多样和复杂的设计环境一致化。在芯片设计过程中,可以根据仿真结果检查或者理解设计;在芯片验证
    的头像 发表于 05-05 14:53 4971次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程2

    全网最实用的Verdi教程1

    设计和验证流程进行全面的调试。它能够帮助工程师理解复杂和不熟悉的设计行为,让困难和乏味的调试过程自动化,并能让多样和复杂的设计环境一致化。在芯片设计过程中,可以根据仿真结果检查或者理解设计;在芯片验证
    的头像 发表于 05-05 14:49 1.4w次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程1