0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Verdi使用技巧(三)

CHANBAEK 来源:跟IC君一起学习集成电路 作者:IC君 2023-04-25 14:44 次阅读

前一段时间IC君比较忙,没有更新文章,最近稍微闲下来点,继续更新Verdi相关的文章。

Verdi提供了很强大的搜索查找功能,充分利用好这些功能在IC设计/验证过程中可以大大提高debug效率。 下面我们就结合实际应用来介绍一下Verdi中常用的搜索查找功能以及一些使用技巧。

1 层次浏览器窗格中寻找实例(instance)

在利用 Verdi debug时,首先需要找到我们负责模块的实例(instance),再选择相应的信号来观察它们的行为来debug。 当设计很庞大时,要找到底层的一个小模块,如果用鼠标从top一层一层的往下点,效率会比较低; 又或者我们只负责了一个小模块,对整个设计的层级关系并不清楚的时候,找到我们的底层设计会变得非常困难。

这时,只要我们知道底层模块的实例名,就可以利用Verdi的“Show Navigation Text Field”功能快速查找了。 操作方法如下图,

a) 在Verdi的层次浏览器窗格(Verdi界面的左部)点右键,弹出菜单里选“Show Navigation Text Field”或快捷键“Ctrl+S”

b) 在输入对话框里输入相应的实例名,再点右边的搜索按钮就可以了。

wKgaomRHdnCABXuQAACDlCJLfuk268.jpg

wKgZomRHdnCAMm--AABu1NZUF6o981.jpg

2. 搜索源代码

源代码窗格里提供了三种搜索指令,功能各不相同,用来应付不同的使用场景。

1) 查找范围

Find scope适用于整个chip里面的查找。 前面提到的应用场景——寻找底层模块,find scope 也可以很轻松的办到,如下:

A) 来源 – >查找范围

B) 在弹出对话框里Scope Type选module

Filter内填要找module关键字

Instance list 里面选择一个,点Go To,source code就会打开该module

wKgaomRHdnCADz1oAABaUU2FMW4017.jpg

另外,对话框最下面列出了整个设计中找到的个数,可以知道该module被instance次数。

2) 查找信号/实例/输入端口

这个指令可以查找指定模块内的信号、实例或输入输出端口。 这个指令的好处是可以把要查找的内容都列出来,想看哪一个就用鼠标点一下,就会切换到源代码窗格对应位置。 当模块内要查找的signal(instance or input/output port)比较多时,可以快速的找到想看的signal。

操作方法如下:

源 –> 查找信号/实例/输入端口

选择要查找的类型:signal, instance or instport

输入框里面输入信号名,点Find 按钮,下面会列出所有找到的信号

点击某个信号,就会到达source code 里面对应的信号

wKgZomRHdnCAP2njAABN4E29Nvg014.jpg

3)查找字符串

Find string应该是debug 过程中使用频率最高的查找指令了。 只要在输入框里面输入部分或全部要查找的字符串,再点一下find
按钮就可以了。

这个指令可以在当前文档(current File)或者全部文档(All file)里面查找,因为字符串查找匹配得比较多,要根据需要选择在当前文档或全部文档查找,以提高查找效率。

因为使用频率高,Verdi也很贴心的在默认工具栏里放置了Find string的输入窗口,如下:

wKgZomRHdnCAEkQRAABqUTjw4YM302.jpg

输入栏输入字符串有几种方法

(1)手动输入,好处是可以只输入部分字符,不用全部输入信号名

(2)点击信号,按中键拖入输入栏,然后点向上或向下查找,找同名信号

(3)点击信号,按快捷键”Ctrl+F”,信号名就会自动输入到输入栏。 这个快捷键很好用,大家要善加利用哦。

3. nWave里面的信号追溯源代码

Debug过程中,往nWave 里添加信号后,查看了信号波形,如果看到异常往往要回头看一下源代码。 如果一时找不到了,find string当然可以找得到,但是需要输入一串字符,可能就不那么乐意了。

其实Verdi很贴心,可以直接把nwave里面的波形拖到nTrace里面,可以快速的找到要找的信号。

操作方法:nWave里面选择信号,如out_den

按住中键,直接拖到source code plane,

然后放开,就会显示你要找的信号了

wKgaomRHdnCARTzlAABRZGVxqYc638.jpg

上述内容介绍了Verdi常用的几种搜索查找功能和技巧。 希望对大家有帮助,debug效率更上一个档次。 如果你有什么好想法,也欢迎留言一起讨论。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IC设计
    +关注

    关注

    37

    文章

    1264

    浏览量

    102958
  • 浏览器
    +关注

    关注

    1

    文章

    974

    浏览量

    34419
  • 源代码
    +关注

    关注

    94

    文章

    2927

    浏览量

    66063
  • Verdi
    +关注

    关注

    0

    文章

    21

    浏览量

    8694
  • DEBUG
    +关注

    关注

    3

    文章

    83

    浏览量

    19447
收藏 人收藏

    评论

    相关推荐

    Verdi 54v7 LINUX

    Verdi 54v7 LINUX
    发表于 03-25 13:19 9次下载

    verdi-SP2软件下载

    本文档内容提供了verdi-SP2软件免费下载,供有需要的朋友参考
    发表于 04-19 17:28 7次下载

    Verdi使用技巧 连续有效信号量测方法

    Verdi自动化调试系统是Verdi SoC调试平台的核心,它支持对所有设计和验证流程进行全面的调试。它包括强大的技术,帮助您理解复杂和不熟悉的设计行为,让困难和乏味的调试过程自动化,并能让多样和复杂的设计环境一致化。
    的头像 发表于 05-15 15:46 9272次阅读
    <b class='flag-5'>Verdi</b>使用技巧 连续有效信号量测方法

    vcs和verdi的调试及联合仿真案例

    若想用Verdi观察波形,需要在仿真时生成fsdb文件,而fsdb在vcs或者modelsim中的生成是通过两个系统调用$fsdbDumpfile $fsdbDumpvars来实现的。
    的头像 发表于 09-22 15:01 7880次阅读

    如何通过自动化脚本实现Questasim和Verdi的联合仿真

    Verdi是用来仿真以及debug波形的工具,但它不能够用来编译verilog和systemverilog文件,所以需要借助第三方EDA工具去做编译的工作。 这里主要分享一下使用questasim
    的头像 发表于 06-13 17:00 3900次阅读
    如何通过自动化脚本实现Questasim和<b class='flag-5'>Verdi</b>的联合仿真

    分享《verdi用法小结》的pdf

    分享Verdi用法小结的pdf文档
    的头像 发表于 02-18 20:21 826次阅读
    分享《<b class='flag-5'>verdi</b>用法小结》的pdf

    Verdi使用技巧(二)

    上一篇文章IC君跟大家分享了Verdi使用技巧——连续有效信号量测方法。 有不少网友在文章后留言或者微信给我留言,提供了一些其它方法。 不得不说广大人民群众的智慧才是无穷无尽的,大家一起交流才能取得更大的进步!
    的头像 发表于 04-25 14:42 2721次阅读
    <b class='flag-5'>Verdi</b>使用技巧(二)

    全网最实用的Verdi教程1

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:49 1.4w次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程1

    全网最实用的Verdi教程2

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:53 4952次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程2

    全网最实用的Verdi教程3

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:53 3743次阅读
    全网最实用的<b class='flag-5'>Verdi</b>教程3

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的头像 发表于 05-08 16:00 4544次阅读
    如何用vcs+<b class='flag-5'>verdi</b>仿真Verilog文件并查看波形呢?

    如何用vcs+verdi仿真Verilog文件

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件内容如下:
    的头像 发表于 05-11 17:03 1450次阅读
    如何用vcs+<b class='flag-5'>verdi</b>仿真Verilog文件

    Verdi环境配置、生成波形的方法

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式进行代码的仿真与检查
    的头像 发表于 05-29 09:48 2312次阅读
    <b class='flag-5'>Verdi</b>环境配置、生成波形的方法

    Verdi连续波激光器-Coherent

    描述: Verdi系列激光器,是基于OPSL专利技术,是高功率的532nm绿光激光器。常用于钛宝石激光器泵浦、全息、干涉、冷原子等领域。 Verdi系列激光器,是久负盛名的激光器,具有非常出色
    的头像 发表于 06-30 09:47 272次阅读
    <b class='flag-5'>Verdi</b>连续波激光器-Coherent

    推出新一代绿光激光器 - VERDI C

    这种紧凑型多功率连续波绿光激光器简化了钛宝石泵浦、半导体检测和退火等应用。 科学家和原始设备制造商都将受益于新的紧凑型 Verdi C 系列多功率连续波绿光(532 纳米)激光器。 这是因为作为
    的头像 发表于 03-21 06:34 125次阅读
    推出新一代绿光激光器 - <b class='flag-5'>VERDI</b> C