0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

全网最实用的Verdi教程2

jf_78858299 来源:芯片验证日记 作者:芯片验证日记 2023-05-05 14:53 次阅读

20 查询模块实例化位置

① 在设计结构中双击实例化模块的名字 ->源代码窗口高亮了设计部分的模块名。② 再双击高亮的模块名->看到在上层模块中的哪一行被调用了

21 在nTrace中如何查找模块和信号

图片

或Shift+A:

图片

搜索通配符 *** **

22查找位于不同层次的驱动信号【跨层次查看信号,看最终驱动谁,或者看最终被谁驱动】

图片

23 如何查看设计有哪些信号

通过最右下方signal_list来查看,可以选择查看输入/输出等端口类型。

图片

24 如何打开原理图

选中设计-> new schematic

图片

25 如何查看nShema Window中符号对应的源代码

双击对应的功能单元电路图,即可跳转到描述该单元的源代码

26 使用 Fan-In Cone追踪某个信号的驱动逻辑

图片

图片

图片

首先要用上面的办法来查找信号,高亮选中;调用该工具:

这样就可以看到某个信号是如何生成的(可以通过View选项来显示信号名)

27 如何产生 partial hierarchy schematic

用来查看与选择特定信号有关的逻辑/模块

①选择需要查看的信号(可以通过shift键来选择多个信号)

②:

图片

选择查看与wclk和wrst_n有关的信号/模块/逻辑:

图片

28 如何移动原理图中的器件

图片

鼠标左键选中,按住右键进行拖拽。

29 状态机相关操作

[1] 打开原理图

图片

[2] 双击相应状态机视图后,呈现状态机图

图片

[3] 查看状态的执行和跳转
[4] 查看状态以及节点的相关源代码

选择状态,然后使用鼠标中键拖拽状态到nTrace中的源代码视图中即可

图片

[5] 查看在什么时候状态机转移到某个状态?《与tb有关》

图片

图片

① 要依靠tb②打开nstate后,要导入波形③

图片

图片图片

[6] 查看某一种状态转移【状态循环】情况是否会发生

图片

图片

图片

[7] 如何查看某个状态被执行了多少次(与TB有关)

图片

图片

[8] 如何查看某个状态转移到另一个状态的转移次数(与TB有关)

图片

(五) Verd波形(含emulation)加载

1 打开波形

打开常规波形:

verdi -dbdir ./simv.dair -ssf debug.fsdb

打开emulation的波形:

verdi -dbdir ./simv.dair -ssf debug.zwd

打开emulation转换前的波形:

verdi -emulation --zebu-work zebu.work --timescale 1ns --input debug.ztdb

打开fsdb波形和编译文件

verdi  -elab   com_path  -ssf  fsdb_path
com_path:编译产生的临时文件存放的路径;例:*.daidir/kdb.elab++;
fsdb_path:编译产生的fsdb的路径;

2 打开 source code

如果没有kdb文件,可以通过flist打开source code

verdi -f tb_top.flist

3 加载设计

veidi加载设计的脚本命令

verdi -sv -f tb_top_filelist.f -top tb_top &

告知软件支持SystemVerilog,加载设计的文件列表,设置设计顶层,后台执行

4 重新加载设计和波形

图片

选中波形或设计文件L(shift + i) 重新加载波形或设计文件,在新一次仿真完成之后Roload即可。

5 波形文件保存*.rc

图片

使用verdi保存已经拉出来的信号方便下次使用,按键shift+S可以将波形保存为xxx.rc格式文件,使用波形文件时,按快捷键r打开界面,选择波形。可以避免下次打开重新添加信号。

图片

(六) Verdi常用快捷键总结

ctrl+w:将鼠标指向需要添加到波形上的信号名,通过该快捷键将该信号添加到波形上;
shift+l:当鼠标指向波形区时刷新波形,当鼠标指向代码区(src1)时刷新代码;
z:缩小波形,显示更长时间的波形;
Z:放大波形,显示更短时间内的波形;
f:显示全局的波形;
shift+s:将当前存在于到波形区的信号保存为.rc后缀的信号列表;
shift+m:波形区快捷添加标记时刻;
ctrl+d:删除所有信号列表;
x:在代码区快捷查看所有信号的当前状态;
   在波形区域固定curson(鼠标左键)和marker(鼠标中键)的距离;
h:在波形区快捷查看信号的全路径;
c:自定义信号的颜色和粗细;
t:按一次切换一次信号颜色;
m:将信号移动到黄线位置;
y:移至中央并保持居中,再按取消固定居中;
n:指针跳到信号的下一个跳变沿;
N:指针跳到信号的上一个跳变沿;
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9497
  • Verdi
    +关注

    关注

    0

    文章

    21

    浏览量

    8694
  • 芯片验证
    +关注

    关注

    5

    文章

    32

    浏览量

    47057
  • DEBUG
    +关注

    关注

    3

    文章

    83

    浏览量

    19451
收藏 人收藏

    评论

    相关推荐

    请教VCS和verdi怎么联合使用

    最近要用到VCS仿真后生成FSDB文件,然后在Verdi中进行自动侦错,请问我怎么安装Verdi这个软件以及如何启动license,并且怎么写testbench文件才可以产生FSDB文件。请懂得人给我支支招,我也是刚开始学习这个软件的使用。
    发表于 01-22 14:53

    怎样去使用Makefile+VCS+Verdi做个简单的Test Bench?

    怎样去使用Makefile+VCS+Verdi做个简单的Test Bench?有哪些操作步骤?
    发表于 06-18 06:28

    Verdi工具怎么安装?如何破解?

    本文介绍Verdi工具的安装及破解过程
    发表于 06-21 07:15

    VCS+Verdi如何安装?怎么破解?

    VCS+Verdi如何安装?怎么破解?
    发表于 06-21 06:11

    VCS仿真卡住,为什么无法生成verdi波形文件呢?

    在make com编译成功后,terminal卡住了一直没有反应,这是什么情况有大佬知道吗?VCS仿真卡住,为什么无法生成verdi波形文件呢?
    发表于 06-21 08:14

    怎么修改verdi软件波形背景颜色?

    毕业设计中用到verdi的波形,需要波形窗口的背景最好为白色,默认为黑色。怎么修改设置,谢谢在线等,经过半天的尝试,和各位大神的请教,终于搞定了,拿出来和各位大神分享,希望更多的人参与到分享中来,谢谢[/td]
    发表于 06-23 10:32

    Verdi 54v7 LINUX

    Verdi 54v7 LINUX
    发表于 03-25 13:19 9次下载

    verdi-SP2软件下载

    本文档内容提供了verdi-SP2软件免费下载,供有需要的朋友参考
    发表于 04-19 17:28 7次下载

    分享《verdi用法小结》的pdf

    分享Verdi用法小结的pdf文档
    的头像 发表于 02-18 20:21 829次阅读
    分享《<b class='flag-5'>verdi</b>用法小结》的pdf

    Verdi使用技巧(三)

    前一段时间IC君比较忙,没有更新文章,最近稍微闲下来点,继续更新Verdi相关的文章。
    的头像 发表于 04-25 14:44 3301次阅读
    <b class='flag-5'>Verdi</b>使用技巧(三)

    全网最实用的Verdi教程1

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:49 1.4w次阅读
    <b class='flag-5'>全网</b>最实用的<b class='flag-5'>Verdi</b>教程1

    全网最实用的Verdi教程3

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用VCS+Verdi或者Xcelium/xrun+Verdi的方式进行代码的仿真与检查。Verdi
    的头像 发表于 05-05 14:53 3747次阅读
    <b class='flag-5'>全网</b>最实用的<b class='flag-5'>Verdi</b>教程3

    Verdi环境配置、生成波形的方法

    Verdi是一个功能强大的debug工具,可以配合不同的仿真软件进行debug,很多企业常用的就是VCS+Verdi或或者Xcelium(xrun)+Verdi的方式进行代码的仿真与检查
    的头像 发表于 05-29 09:48 2315次阅读
    <b class='flag-5'>Verdi</b>环境配置、生成波形的方法

    Verdi连续波激光器-Coherent

    描述: Verdi系列激光器,是基于OPSL专利技术,是高功率的532nm绿光激光器。常用于钛宝石激光器泵浦、全息、干涉、冷原子等领域。 Verdi系列激光器,是久负盛名的激光器,具有非常出色
    的头像 发表于 06-30 09:47 275次阅读
    <b class='flag-5'>Verdi</b>连续波激光器-Coherent

    推出新一代绿光激光器 - VERDI C

    这种紧凑型多功率连续波绿光激光器简化了钛宝石泵浦、半导体检测和退火等应用。 科学家和原始设备制造商都将受益于新的紧凑型 Verdi C 系列多功率连续波绿光(532 纳米)激光器。 这是因为作为
    的头像 发表于 03-21 06:34 129次阅读
    推出新一代绿光激光器 - <b class='flag-5'>VERDI</b> C