0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado怎么避免信号被优化掉

FPGA之家 来源:FPGA之家 2023-01-31 18:03 次阅读

刚写了一段 Verilog代码,辛辛苦苦花了很长时间综合,在debug的过程中,却找不到需要debug的信号了,查看网表发现没有?

这种情况是因为我们的某些中间信号被优化掉了。

被优化掉的原因有可能是你这个信号确实对后面的输出没用,我写的这个项目由于还在中间过程,功能还没有完善,所以不想把大量的中间信号作为输出,所以被优化掉了,以至于在debug过程中找不到这些信号。

如何解决这个问题呢?

很简单,最常用的就是在变量定义的时候添加语句:

(* keep = "true" *)

例如:

8a15322a-9679-11ed-bfe3-dac502259ad0.png

这样即可,从网表中可以找到这些变量了。

8a38ab6a-9679-11ed-bfe3-dac502259ad0.png

当然还有其他办法,例如:

1、 信号前面将keep hierarchy选择yes ,或者选择soft(在综合时保持层次),这样有利于你从模块中找到你想抓取的信号和信号名不被更改。

(* keep_hierarchy = "yes" *)module fre( a, b, c, d);

or

(* keep_hierarchy = "yes" *)fre fre_inst( a, b, c, d);

2、 信号前面使用(* DONT_TOUCH= “{TRUE|FALSE}” *),可以防止信号在综合,以及布局布线的时候被优化掉。

(* dont_touch = "true" *) wire a;

不在话下。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 信号
    +关注

    关注

    11

    文章

    2640

    浏览量

    75389
  • DEBUG
    +关注

    关注

    3

    文章

    83

    浏览量

    19447
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65103

原文标题:Vivado中如何避免信号被优化掉?

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    普通探头对差分信号测量的危害有哪些?如何避免呢?

    普通探头对差分信号测量的危害有哪些?如何避免呢? 普通探头对差分信号测量的危害主要包括共模噪声、信号间干扰以及接地问题。为了避免这些问题,需
    的头像 发表于 01-08 15:40 288次阅读

    如何禁止vivado自动生成 bufg

    Vivado中禁止自动生成BUFG(Buffered Clock Gate)可以通过以下步骤实现。 首先,让我们简要了解一下什么是BUFG。BUFG是一个时钟缓冲器,用于缓冲输入时钟信号,使其更稳
    的头像 发表于 01-05 14:31 647次阅读

    如何避免ADG1419的漏电流对测电流信号的影响?

    ADA4530-1的参考电路CN0407能够检测fA级电流,但电路中使用的开关ADG1419的漏电流为nA级,需要什么样的处理来避免ADG1419的漏电流对测电流信号的影响?谢谢。
    发表于 11-17 08:23

    使用JTAG仿真器在vivado环境下抓信号时报错咋办?

    在使用JTAG仿真器在vivado环境下抓信号时,报如下错误:
    的头像 发表于 11-14 10:37 1354次阅读

    Vivado设计套件用户指南之功耗分析和优化

    电子发烧友网站提供《Vivado设计套件用户指南之功耗分析和优化.pdf》资料免费下载
    发表于 09-14 10:25 0次下载
    <b class='flag-5'>Vivado</b>设计套件用户指南之功耗分析和<b class='flag-5'>优化</b>

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 6次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    install-driver已经安装过了,Ubuntu下mcu200t不能vivado识别是为什么?

    Ubuntu下mcu200t不能vivado识别,install-driver已经安装过了
    发表于 08-16 08:12

    基于 FPGA Vivado 信号发生器设计(附源工程)

    今天给大侠带来基于 FPGA Vivado 信号发生器设计,开发板实现使用的是Digilent basys 3。话不多说,上货。 需要源工程可以在以下资料获取里获取。 资料汇总|FPGA软件安装包
    发表于 08-15 19:57

    Vivado综合阶段什么约束生效?

    Vivado综合默认是timing driven模式,除了IO管脚等物理约束,建议添加必要的时序约束,有利于综合逻辑的优化,同时综合后的design里面可以评估时序。
    的头像 发表于 07-03 09:03 446次阅读

    用 TCL 定制 Vivado 设计实现流程

    物理优化等。 Customer Commands Vivado IDE 中还有一个扩展功能,允许用户把事先创建好的 Tcl 脚本以定制化命令的方式加入图形化界面,成为一个按钮,方便快速执行。这个功能
    发表于 06-28 19:34

    FPGA设计中如何防止信号优化

    本文分别对quartus和vivado防止信号优化的方法进行介绍。
    的头像 发表于 05-25 11:25 2055次阅读
    FPGA设计中如何防止<b class='flag-5'>信号</b>被<b class='flag-5'>优化</b>

    今日说“法”:如何防止reg、wire型信号在使用逻辑分析仪时优化

    不一样。 1、使用XST综合。 (1) 对于reg型信号,如果ISE优化,一般有可以把这个信号和其他没有
    发表于 05-16 17:48

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置布线参数以优化FPGA设计的性能,以及如何设置Vivado压缩BIT文件。
    的头像 发表于 05-16 16:40 3250次阅读
    <b class='flag-5'>Vivado</b>布线和生成bit参数设置

    PCB设计中的高速信号传输优化技巧

    在现代电子设计中,高速信号的传输已成为不可避免的需求。高速信号传输的成功与否,直接影响整个电子系统的性能和稳定性。因此,PCB设计中的高速信号传输
    的头像 发表于 05-08 09:48 1209次阅读

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。
    的头像 发表于 05-05 09:44 735次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现流程