0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Cadence最新的N4P和N3E数字全流程认证

Cadence楷登 来源:Cadence楷登 作者:Cadence楷登 2022-10-27 11:01 次阅读

内容提要

双方携手推进移动、汽车、人工智能和超大规模计算设计创新

双方的共同客户现可使用基于经认证的 N4P 和 N3E 流程的增强型 PDK 进行设计

针对 N4P 和 N3E PDK 进行优化的 Cadence 流程,为工程师提供轻松实现模拟迁移、最佳 PPA 和更快的上市时间

中国上海,2022 年 10 月 27 日 —— 楷登电子(美国 Cadence 公司NASDAQ:CDNS)今日宣布,Cadence 数字和定制/模拟设计流程已获得台积电最新 N4P 和 N3E 工艺认证,支持新的设计规则手册(DRM)和 FINFLEX 技术。通过持续的合作,两家公司还提供了相应的 N4P 和 N3E 工艺设计套件(PDKs),可加快移动、人工智能和超大规模计算先进节点设计创新。客户已开始使用最新的台积电工艺技术和经过认证的 Cadence 流程来实现最佳的功率、性能和面积(PPA)目标,并缩短上市时间。

最新的 N4P 和 N3E 数字全流程认证

Cadence 和台积电研发团队紧密合作,确保数字流程符合台积电的 N4P 和 N3E 先进工艺认证要求。Cadence 完整的 RTL-to-GDS 流程包括 Innovus Implementation System、Quantus Extraction Solution、Quantus FS Solution、Tempus Timing Signoff Solution 和 ECO Option、Pegasus Verification System、Liberate Characterization Solution、Voltus IC Power Integrity Solution 以及 Voltus-Fi Custom Power Integrity Solution。Cadence Genus Synthesis Solution 和预测性 iSpatial 技术也支持台积电 N4P 和 N3E 工艺技术。

数字全流程提供了支持台积电 N4P 和 N3E 工艺技术的几个关键功能,包括从合成到签核工程变更单(ECO)的原生混合高度单元行优化,可实现更好的 PPA;基于标准单元行的放置;与签核有良好相关性的实施结果,可加快设计收敛;增强的过孔支柱支撑,可提高设计性能;包含大量多高度、电压阈值(VT)和驱动强度单元的大型库;时序稳健性单元表征和分析;使用老化感知的 STA 进行可靠性建模;以及 CCSP 模型改进,为通过 Voltus IC Power Integrity Solution 进行的分析提供更好的准确性和简化表征。

最新的 N4P 和 N3E 定制/模拟流程认证

Cadence Virtuoso Design Platform 包括 Virtuoso Schematic Editor、Virtuoso ADE Product Suite 和 Virtuoso Layout Suite,以及 Spectre Simulation Platform 包括 Spectre X Simulator、Spectre Accelerated Parallel Simulator(APS)、Spectre eXtensive Partitioning Simulator (XPS)和 Spectre RF Option,均已获得台积电 N4P 和 N3E 工艺认证。Virtuoso Design Platform 与 Innovus Implementation System 紧密集成,通过一个共用的数据库来改善混合信号设计的实施方法。

定制设计参考流程(CDRF)也已经过优化,可支持最新的 N4P 和 N3E 工艺技术。Virtuoso Schematic Editor、Virtuoso ADE Suite 和集成的 Spectre X Simulator 帮助客户有效管理物理角仿真、统计分析、设计中心化和电路优化。Virtuoso Layout Suite 已经过调优,利用基于行的实现方法,实现高效布局,具有放置、布线、填充和虚拟插入功能;增强的模拟迁移和布局复用功能;集成的寄生参数提取和 EM-IR 检查;以及集成的物理验证功能。

“我们继续与 Cadence 密切合作,确保客户可以放心地使用我们最先进的 N4P 和 N3E 技术以及经过认证的 Cadence 数字和定制/模拟流程,”台积电设计基础设施管理部门负责人 Dan Kochpatcharin 表示,“这一联合可以使台积电的先进技术与 Cadence 领先的设计解决方案相结合,有助于我们的共同客户满足严格的功耗和性能要求,并迅速向市场推出他们的下一代硅创新产品。”

“通过与台积电的长期合作,我们继续致力于技术创新,使我们的共同客户实现他们的 PPA 和生产力目标,”Cadence 公司资深副总裁兼数字和签核事业部总经理 Chin-Chi Teng 博士表示,“我们与台积电的最新合作成果再次印证了我们的承诺,即利用我们的流程和台积电的先进技术帮助客户实现卓越的设计,他们的创新产品总是令人惊讶不已。”

Cadence 数字和定制/模拟先进节点解决方案已针对台积电 N4P 和 N3E 工艺技术进行了优化,支持 Cadence 智能系统设计(Intelligent System Design)战略。该战略可助力客户实现卓越的系统级芯片(SoC)设计。

关于 Cadence

Cadence 在计算软件领域拥有超过 30 年的专业经验,是电子系统设计产业的关键领导者。基于公司的智能系统设计战略,Cadence 致力于提供软件、硬件和 IP 产品,助力电子设计从概念成为现实。Cadence 的客户遍布全球,皆为最具创新能力的企业,他们向超大规模计算、5G 通讯、汽车、移动设备、航空、消费电子工业和医疗等最具活力的应用市场交付从芯片、电路板到完整系统的卓越电子产品。Cadence 已连续八年名列美国财富杂志评选的 100 家最适合工作的公司。如需了解更多信息,请访问公司网站 cadence.com。

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Cadence
    +关注

    关注

    62

    文章

    881

    浏览量

    140787
  • 人工智能
    +关注

    关注

    1776

    文章

    43809

    浏览量

    230572

原文标题:Cadence 数字和定制/模拟设计流程获得台积电最新 N4P 和 N3E 工艺认证

文章出处:【微信号:gh_fca7f1c2678a,微信公众号:Cadence楷登】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Cadence数字和定制/模拟流程通过Intel 18A工艺技术认证

    Cadence近日宣布,其数字和定制/模拟流程在Intel的18A工艺技术上成功通过认证。这一里程碑式的成就意味着Cadence的设计IP将
    的头像 发表于 02-27 14:02 207次阅读

    Cadence 数字和定制/模拟设计流程获 TSMC 最新 N2 工艺认证

    内容提要 Cadence 数字流程涵盖关键的新技术,包括一款高精度且支持大规模扩展的寄生参数 3D 场求解器 Cadence Cerebrus 由 AI 驱动,支持 N2 制程,可大
    的头像 发表于 10-10 16:05 297次阅读

    Cadence 定制/模拟设计迁移流程加速 TSMC 先进制程技术的采用

    流程,能兼容所有的 TSMC(台积电)先进节点,包括最新的 N3E 和 N2 工艺技术。 这款生成式设计迁移流程Cadence 和 TSMC 共同开发,旨在实现定制和模拟 IC 设
    的头像 发表于 09-27 10:10 346次阅读

    关于N76E003多个管脚中断使用的问题求解

    N76E003的 DataSheet上说N76E003的每个管脚都提供入管输脚中断功能,最多配置8个IO口管脚用于中断。觉得这几句话单独每一句都对,但是合在一起就是有歧义了。 N76E003如果
    发表于 09-01 07:51

    Cadence 数字、定制/模拟设计流程通过认证,Design IP 现已支持 Intel 16 FinFET 制程

    内容提要 ●  Cadence 流程已通过认证,可立即投入生产,该工艺下 Design IP 产品现已完备,可支持客户进行 Intel 16 工艺下 SOC 设计 ●   客户可以基于已被充分
    的头像 发表于 07-14 12:50 405次阅读
    <b class='flag-5'>Cadence</b> <b class='flag-5'>数字</b>、定制/模拟设计<b class='flag-5'>流程</b>通过<b class='flag-5'>认证</b>,Design IP 现已支持 Intel 16 FinFET 制程

    Cadence 推出经过认证的创新背面实现流程,以支持 Samsung Foundry SF2 技术

    内容提要 ●  完整的背面布线解决方案,助力面向移动、汽车、人工智能和超大规模应用的下一代高性能芯片设计 ●  Cadence SF2 数字流程包括用于 nTSV 优化的先进技术 ● 背面实现
    的头像 发表于 07-10 10:45 305次阅读
    <b class='flag-5'>Cadence</b> 推出经过<b class='flag-5'>认证</b>的创新背面实现<b class='flag-5'>流程</b>,以支持 Samsung Foundry SF2 技术

    Cadence数字和定制/模拟流程通过Samsung Foundry的SF2、SF3工艺技术认证

    已经过 SF2 和 SF3 流程认证 ● Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:12 409次阅读

    Cadence 数字和定制/模拟设计流程获得 Samsung Foundry SF2 和 SF3 工艺技术认证

    已经过 SF2 和 SF3 流程认证 ●  Cadence 数字流程针对先进节点实现了最佳 PPA 结果 ●
    的头像 发表于 07-05 10:10 346次阅读

    请问n76e003的p2.0做输入怎么用?

    n76e003的p2.0做输入怎么用?如何定义,头文件没有找到定义
    发表于 06-26 08:18

    如何设定N76E616的P4口为输入模式?

    如何设定N76E616的P4口为输入模式? 1.P42_IO_MODE_I;//PowerKey 2.LCDCON =0; //Disable the LCD 其实默认应该也是0
    发表于 06-26 08:12

    N76E003能带隙是什么?

    : N76E003 Read actual bandgap value by IAP command
    发表于 06-25 07:48

    N76E003不受控自动复位怎么解决?

    如题N76E003 不停的复位,下面是代码,板子是用别人验证过的,没问题。 void led_Init() { P14_Quasi_Mode; P14 = 1; } void main
    发表于 06-14 13:14

    Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E
    的头像 发表于 05-09 10:09 740次阅读

    Cadence定制设计迁移流程加快台积电N3E和N2工艺技术的采用速度

    ,包括最新的 N3E 和 N2 工艺技术。这一新的生成式设计迁移流程Cadence 和台积电共同开发,旨在实现定制和模拟 IC 设计在台积电工艺技术之间的自动迁移。与人工迁移相比,已使用该
    的头像 发表于 05-06 15:02 851次阅读

    Cadence成功流片基于台积电N3E工艺的16G UCIe先进封装 IP

    来源:Cadence楷登 2023年4月26日,楷登电子近日宣布基于台积电 3nm(N3E)工艺技术的 Cadence® 16G UCIe™ 2.5D 先进封装 IP 成功流片。该 IP 采用
    的头像 发表于 04-27 16:35 484次阅读
    <b class='flag-5'>Cadence</b>成功流片基于台积电<b class='flag-5'>N3E</b>工艺的16G UCIe先进封装 IP