0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CDC跨时钟域分单bit和多bit传输介绍

FPGA之家 来源:FPGA探索者 作者:FPGA探索者 2022-08-29 11:13 次阅读

多bit跨时钟

大疆2020数字芯片)下列关于多bit数据跨时钟域的处理思路,错误的有()

A. 发送方给出数据,接收方用本地时钟同步两拍再使用;

B. 发送方把数据写到异步fifo,接收方从异步fifo里读出;

C. 对于连续变化的信号,发送方转为格雷码发送,接收方收到后再转为二进制;

D. 发送方给出数据,发送方给出握手请求,接收方收到后回复,发送方撤销数据。

答案:A

解析:多bit跨时钟域不能简单使用打两拍,打拍后可能数据错乱;

CDC(Clock Domain Conversion)跨时钟域分单bit和多bit传输,其中:

1. 单bit(慢时钟域到快时钟域):用快时钟打两拍,直接采一拍大概率也是没问题的,两拍的主要目的是消除亚稳态;

其中:

(1)为了更长的平均无故障时间 MTBF( Mean Time Between Failures),需要配合一个 ASYNC_REG 的约束,把用作简单同步器的多个寄存器放入同一个 SLICE,以降低走线延时的不一致和不确定性。


(* ASYNC_REG = "TRUE" *) reg rst_reg_0;
(* ASYNC_REG = "TRUE" *) reg rst_reg_1;

(2)或者:直接在约束文件里进行约束

set_propertyASYNC_REGTRUE[get_cells[listrst_reg_0rst_reg_1]]

2. 单bit(快时钟域到慢时钟域):握手(脉冲展宽)、异步FIFO、异步双口RAM;快时钟域的信号脉宽较窄,慢时钟域不一定能采到,可以通过握手机制让窄脉冲展宽,慢时钟域采集到信号后再“告诉”快时钟域已经采集到信号,确保能采集到;

3. 多bit跨时钟域异步FIFO、异步双口RAM、握手、格雷码;

(1)使用异步FIFO的IP

实际上是用 FPGA 内部的 BRAM 来搭建,所有的控制逻辑都在 BRAM 内部,是推荐的 FIFO 实现方式。

时序约束简单,进行时序例外约束,只需要 set_clock_groups 将读写时钟约束为异步时钟组即可,简单高效。

set_property -asynchronous -group [get_clocks write_clock] \ -group [get_clocks read_clock]

(2)自己写外部控制逻辑的FIFO

格雷码做异步 FIFO 的跨时钟域处理,计数器和读写控制逻辑在 BRAM 或者 RAM 的外部,除了代码的合理设计以外,还需要进行额外的时序例外约束,不能简单使用 set_clock_groups 约束异步时钟组,还需要考虑外部的读写逻辑的约束。

Xilinx建议这里设置set_max_delay来约束跨时钟域路径,约束的原则是:最大路径延时等于或者略小于目的时钟的一个周期。

写逻辑从cell1到cell2的约束中,cell2的驱动时钟周期为5,如下所示,读逻辑约束进行相应约束。


poYBAGMML2WABtvqAAA8WO7wAAI530.jpg

多bit中,强烈推荐使用异步FIFO的IP来实现,我在实际工程中使用多次,简单方便。

set_propertyASYNC_REGTRUE[get_cells[listrst_reg_0rst_reg_1]]




审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • RAM
    RAM
    +关注

    关注

    7

    文章

    1321

    浏览量

    113706
  • fifo
    +关注

    关注

    3

    文章

    369

    浏览量

    43069
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130306
  • CDC
    CDC
    +关注

    关注

    0

    文章

    55

    浏览量

    17671

原文标题:CDC跨时钟域处理及相应的时序约束【set_clock_groups】【set_max_delay】

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    时钟时钟约束介绍

    ->Core Cock Setup:pll_c0为(Latch Clock) 这两个是时钟时钟,于是根据文中总结:对于
    发表于 07-03 11:59

    如何处理好FPGA设计中时钟问题?

    以手到擒来。这里介绍的三种方法时钟处理方法如下:打两拍;异步双口 RAM;格雷码转换。01方法一:打两拍大家很清楚,处理
    发表于 09-22 10:24

    探寻FPGA中三种时钟处理方法

    以手到擒来。这里介绍的三种方法时钟处理方法如下:打两拍;异步双口 RAM;格雷码转换。01方法一:打两拍大家很清楚,处理
    发表于 10-20 09:27

    三种时钟处理的方法

    时钟处理的方法,这三种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bitbit
    发表于 01-08 16:55

    三种FPGA界最常用的时钟处理法式

    处理的方法,这三种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bitbit数据的
    发表于 02-21 07:00

    FPGA初学者的必修课:FPGA时钟处理3大方法

    处理的方法,这三种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bitbit数据的
    发表于 03-04 09:22

    关于cdc时钟处理的知识点,不看肯定后悔

    关于cdc时钟处理的知识点,不看肯定后悔
    发表于 06-21 07:44

    如何处理好FPGA设计中时钟间的数据

    介绍3种时钟处理的方法,这3种方法可以说是FPGA界最常用也最实用的方法,这三种方法包含了bit
    发表于 07-29 06:19

    如何处理好时钟间的数据呢

    时钟处理是什么意思?如何处理好时钟间的数据呢?有哪几种
    发表于 11-01 07:44

    看看Stream信号里是如何做时钟握手的

    popArea里stream.m2sPipe,这个版本效率相较于前者,略低一些:StreamFifoCC这个就没有什么好说的了,通过fifo来实现stream信号的时钟,效率最高,资源相对也会
    发表于 07-07 17:25

    CDCbit脉冲跨时钟域的处理介绍

    bit 脉冲跨时钟域处理 简要概述: 在上一篇讲了总线全握手跨时钟处理,本文讲述单bit脉冲跨时钟域的处理为下一篇总线单向握手跨
    的头像 发表于 03-22 09:54 2974次阅读

    如何解决单bit和多bit时钟处理问题?

    一、简要概述: 在芯片设计过程中,一个系统通常是同步电路和异步电路并存,这里经常会遇到CDC也就是跨时钟域处理的问题,常见的处理方法,可能大家也已经比较熟悉了,主要有单bit时钟处理
    的头像 发表于 03-22 10:28 6328次阅读

    bit信号的跨时钟传输可以使用两级同步但后果呢?

    看的东西多了,发现有些并未领会到位。单bit信号的跨时钟传输,可以使用两级同步,但后果呢?
    的头像 发表于 05-10 10:08 522次阅读
    单<b class='flag-5'>bit</b>信号的跨<b class='flag-5'>时钟</b>域<b class='flag-5'>传输</b>可以使用两级同步但后果呢?

    FPGA多bit时钟域之格雷码(一)

    FPGA多bit时钟域适合将计数器信号转换为格雷码。
    的头像 发表于 05-25 15:21 2037次阅读
    FPGA多<b class='flag-5'>bit</b>跨<b class='flag-5'>时钟</b>域之格雷码(一)

    CDC时钟域处理及相应的时序约束

    CDC(Clock Domain Conversion)跨时钟域分单bit和多bit传输
    的头像 发表于 06-21 14:59 1078次阅读