0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Aurora 8B/10B IP核(二)—时钟、复位与状态指示

C29F_xilinx_inc 来源:赛灵思 作者:赛灵思 2022-02-16 16:21 次阅读

写在前面
IP是什么?简单来讲,IP就是Xilinx或者第三方开发者把自己的逻辑模块封装成一个黑盒子,然后拿出来给别人用。那什么又是黑盒子?黑盒子就是你看不到里面的东西,你只能看到外面的接口。也就是说IP是某类可以不了解内部构造,仅仅需要对对外接口操作即可以使用的某类特定功能模块。

封装成黑盒子的好处是显而易见的-----你无需对复杂的内部结构了如执掌,只要能做到如何使用即可。可能有人会说了:那这岂不是不符合我们从小学习的“知其然,知其所以然”?不好意思,你是工程师,你不是科学家。老板只关心你把东西做出来、做好,不会关心这个东西你到底懂不懂。

说了这么多的意思就是。Aurora IP核这个东西我们会用就行了,不用纠结它具体是怎么实现的(当然知道肯定更好,无非就是个时间性价比的问题)。那么具体到一个FPGA IP类的黑盒子,我们需要了解哪些对外接口才能把它给用起来呢?无非就是三类:

  • 时钟:对于一个系统,时钟架构是必不可少的,根据其复杂程度,时钟数量、种类也会不同
  • 复位:一个稳健的系统,各类复位信号也是不可缺少的(要能把IP初始化成功)
  • 指示:辅以数个指示信号,可以实现更好的操作、调试等交互功能

1、时钟
时钟看手册好像很多,实际上真的与我们密切相关的无非就是4个。

首先我们打开IP定制界面的第一页Core Options,可以看到3个时钟:

poYBAGIMocSARtlnAABipwwAtwM120.png
  • GT Refclk :Aurora IP核是基于GT作为物理层实现的,所以时钟GT Refclk就是GT的参考时钟。GT的时钟参考只能使用外部的差分时钟,所以具体的值取决你板卡的硬件设计。默认125Mhz。
  • INIT CLK :初始化阶段的时钟 ,在上电初始化阶段,可以使用该时钟来驱动一些逻辑。默认值:50Mhz。
  • DRP CLK : DRP时钟,动态重配置,一般没用,默认值:50Mhz(通常一个内部模块需要进行配置,使用两种方法:端口控制和配置参数控制。一个常见的应用就是线速率切换。这时候就需要利用DRP端口来调整部分参数的值,然后复位GTX,使GTX工作在不同的线速率下。)。

上面这三个时钟,是IP核工作所需要的时钟,也是我们需要提供给IP核的。此外,还有一个时钟是IP核提供给我们的:user_clk。这个时钟,是IP核根据设置的线速率及Lane的位宽计算出来的用户时钟,用户需要传输的数据必须是该时钟域下的数据,否则会存在亚稳态风险。关于user_clk值的计算我们在下一章节再讨论。

基本就能总结了:1、GT Refclk的值根据对应硬件设计的来;INIT CLK 和DRP CLK可以用PLL或者MMCM生成;3、user_clk是用户时钟域,我们的数据收发接口应该工作在此时钟域下。

2、复位
复位信号一定要好好了解,不然你的IP为什么起不来你都不知道咋回事!

复位信号用于将Aurora 8B/10B核心设置为一个已知的启动状态。在复位时,IP核停止任何当前操作并重新初始化一个新通道。在双工模块上,复位信号复位通道的TX和RX两侧。

复位案例1:双工模式下的reset复位

复位信号reset需要至少维持6个时钟周期,然后3个周期后,channel_up信号被拉低,表示传输通道建立失效

poYBAGIMocaAIXz4AAD5aZhorxA550.png

复位案例2:双工模式下的gt_reset复位

复位信号gt_reset需要至少维持6个时钟周期,然后一段时间后,user_clk也会失效(这是因为user_clk的时钟来源是GT,gt_reset复位会从最底层的物理层复位),随后channel_up信号被拉低,表示传输通道建立失效

pYYBAGIMociAeKfAAAE8CUv8LaU310.png

单工模式的复位步骤就不讲了,请参考手册。

双工模式下的上电时序

双工模式下,给FPGA上电时,gt_reset和reset信号都必须保持为高电平,与此同时GT的参考时钟gt_clk和初始化时钟init_clk都必须保持稳定。

poYBAGIMocqAT-fFAAE1gBRI9Ic226.png

双工模式下的正常操作复位时序

1. 在gt_reset断言之前,reset信号必须稳定保持128个user_clk时钟周期的断言
2. gt_reset断言保持一段时间(图中所示为1s)
3. 在gt_reset取消断言一段时间后,reset取消断言

pYYBAGIMocyAYZEzAAE2LDnvmYw034.png

3、状态指示
Aurora IP还提供了一系列的指示接口出来,方便我们进行调试:

pYYBAGIMoc2AJpbsAAEWwaPwCLU388.png
  • loopback:回环模式,实际使用一般接到0
  • power down:高电平有效。当其为高时,GT会进入非工作、低功耗的模式(类似睡眠模式)。我们使用的时候一般直接拉低。
  • lane_up:当对应的Lane初始化完成后,会断言对应的lane_up
  • channel_up:当Auroa 8b/10b 初始化完成后,并且当前已经可以进行数据的传输时,会断言该信号
  • hard_err:错误表征应信号,主要是硬件类错误
  • soft_err:错误表征应信号,主要是软件类错误
  • frame_err:错误表征应信号,主要是帧类错误

4、其他
其他
除了上述部分外,还有一些不太常用的功能(自己看手册吧):

  • 流控:Flow Control(Native Flow Control、User Flow Control)
  • 加扰/解扰:Scrambler/Descrambler
  • 循环冗余校验:Cyclic Redundancy Check,CRC

预告
下一节我们再来一起学习下Aurora IP核的example design(两种接口一起)。

参考
Aurora 8B/10B Protocol Specification
Aurora 8B/10B v11.1 LogiCORE IP Product Guide

审核编辑:符乾江

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130304
  • AURORA
    +关注

    关注

    0

    文章

    24

    浏览量

    5347
收藏 人收藏

    评论

    相关推荐

    8b10b编码verilog实现

    编码所需的特殊字符和状态机。 在数据接收端,解码这些特殊字符,并恢复时钟信号。 以下是一个简化的8b/10b编码器的Verilog代码示例: module encoder_
    发表于 03-26 07:55

    Texas Instruments品牌 ADC12DJ5200ALRSHP 耐辐射加固保障 (RHA)、300krad、12 位、双通道 5.2GSPS 或单通道 10.4GSPS ADC

    数进行权衡。支持 8b/10b 和 64b/66b 数据编码方案。64b/66b 编码支持前向纠
    发表于 01-31 15:22

    为什么需要复位电路?漫谈复位reset

    在IC设计中,把复位时钟电路称为最重要的两个电路一点也不为过。前者复位电路把IC设计的电路引导到一个已知的状态
    的头像 发表于 01-19 16:41 719次阅读
    为什么需要<b class='flag-5'>复位</b>电路?漫谈<b class='flag-5'>复位</b>reset

    JESD204B的常见疑问解答

    )数据。这样可确保接收器有足够的转换数据来恢复内嵌的时钟信息,而无论底层数据的动态活动状态如何。 使用8b/10b编码时,串行数据流中
    发表于 01-03 06:35

    如何对状态指示灯进行编程

    以下步骤将介绍如何对状态指示灯进行编程。状态指示指示加热室的工作模式。当加热室处于工作状态时,
    的头像 发表于 01-02 14:22 544次阅读
    如何对<b class='flag-5'>状态</b><b class='flag-5'>指示</b>灯进行编程

    AD9683的引脚如何与zynq 7015芯片中的JESD204 ip端口对应相连?

    芯片上JESD204B协议对应的引脚(SYSREF、SYNCINB和SERDOUT)与ZYNQ7015芯片中的JESD204 IP的端口对应相连。
    发表于 12-15 07:14

    ad9680 JESD204B接口rx_sync信号同步和失锁周期性出现怎么解决?

    使用AD9680时遇到一个问题,AD9680采样率为1Gsps,JESD204B IP的GTX参考时钟为250MHz,参数L=4,F=2,K=32,线速率为
    发表于 12-12 08:03

    FPGA新IP学习的正确打开方式

    本帖最后由 jf_25420317 于 2023-11-17 11:10 编辑 FPGA开发过程中,利用各种IP,可以快速完成功能开发,不需要花费大量时间重复造轮子。 当我们面对使用新
    发表于 11-17 11:09

    STM32失能时钟复位外设的区别

    STM32失能时钟复位外设的区别
    的头像 发表于 10-17 11:48 551次阅读
    STM32失能<b class='flag-5'>时钟</b>和<b class='flag-5'>复位</b>外设的区别

    基于FPGA的Aurora 8b10b光通信测试方案

    本文开源一个FPGA高速串行通信项目:Aurora 8b10b光通信。7 Series FPGAs Transceivers Wizard IP是Xilinx官方7系列FPGA的高速串行收发器,本工程主要是围绕该
    的头像 发表于 10-01 09:48 3036次阅读
    基于FPGA的<b class='flag-5'>Aurora</b> 8b<b class='flag-5'>10</b>b光通信测试方案

    HLS中RTL无法导出IP是为什么?

    请教一下,我在HLS里面要将以下程序生成IP,C Synthesis已经做好了,但是在export RTL的时候一直在运行 int sum_single(int A int B
    发表于 09-28 06:03

    10 10_内置IP之PIO的实战应用讲解 - 第8节 #硬声创作季

    fpga寄存器IP
    充八万
    发布于 :2023年08月18日 07:56:11

    IP_数据表(A-11):双通道 8b D/A 转换器

    IP_数据表(A-11):双通道 8b D/A 转换器
    发表于 07-06 20:15 0次下载
    <b class='flag-5'>IP</b>_数据表(A-11):双通道 <b class='flag-5'>8b</b> D/A 转换器

    SpinalHDL BlackBox时钟复位

    在SpinalHDL中使用之前已有的Verilog等代码的时候需要将这些代码包在一个BlackBox里面,但是如果这些代码里面有时钟复位,我们需要怎么将时钟复位端口和SpinalH
    的头像 发表于 05-04 11:13 508次阅读
    SpinalHDL BlackBox<b class='flag-5'>时钟</b>与<b class='flag-5'>复位</b>

    了解用于模拟/数字转换器的单传输对串行通信的新JESD204标准

    8B/10B 编码数据对时钟恢复电路很友好,因为它具有游程长度限制。它还适用于交流耦合,因为它是直流平衡的。8B/10B 编码涉及将 8 位
    发表于 04-29 16:34 404次阅读
    了解用于模拟/数字转换器的单传输对串行通信的新JESD204标准