0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何在VScode中自动生成Verilog仿真文件

FPGA之家 来源:AriesOpenFPGA 作者:AriesOpenFPGA 2021-06-23 17:48 次阅读

一、实现功能

1、可以自动创建文件夹

2、根据Verilog文件自动生成测试文件模板(TB文件名字是)

3、自动打开生成的文件

4、自动调取modelsim仿真(后续添加)

二、基本介绍

使用语言:python

环境:win10/python3.7(需要chardet,代码中有注释)

运行软件:Vscode/IDLE (Python 3.7 64-bit)(目前这个脚本还没有封装成插件)

调试最方便的就是在VScode里面安装python的插件

三、python代码

3.1 提取Verilog文件关键字的代码

##------------------------------------------------------------------------------------------------##--##-- Coding: UTF-8##-- @File : vTbgenerator.py##-- @Version : 1.0##-- @Author : AriesOpenFPGA##-- @Email : 2577802337@qq.com##-- @License : (C)Copyright 2019-2021,AriesOpenFPGA##-- @Time : 2021/01/25 2118##-- Description:##--##------------------------------------------------------------------------------------------------

import reimport sysimport chardet #请大家尤其注意此处,调用这个是需要下载另外一个文件的 #如果找不到,可以找群主要(Python Universal Character Encoding Detector)import osimport time

def delComment( Text ): “”“ removed comment ”“” single_line_comment = re.compile(r“//(.*)$”, re.MULTILINE)# (.*) 代表匹配除换行符之外的所有字符re.MULTILINE变成每行开始和结束 multi_line_comment = re.compile(r“/*(.*?)*/”,re.DOTALL)# (.*?)后面多个问号,代表非贪婪模式,也就是说只匹配符合条件的最少字符 Text = multi_line_comment.sub(‘

’,Text) # re.DOTALL表示多行匹配 Text = single_line_comment.sub(‘

’,Text) return Text

def delBlock( Text ) : “”“ removed task and function block ”“” Text = re.sub(r‘WtaskW[Ww]*?WendtaskW’,‘

’,Text) #re.sub表示替换‘s+’表示空格 Text = re.sub(r‘WfunctionW[Ww]*?WendfunctionW’,‘

’,Text) #pattern = re.compile(r‘([a-z]+) ([a-z]+)’, re.I) re.I表示忽略大小写 return Text #w匹配包括下划线的任何单词字符。等价于‘[A-Za-z0-9_]’ #W匹配任何非单词字符。等价于 ‘[^A-Za-z0-9_]’。

def findName(inText): “”“ find module name and port list”“” p = re.search(r‘([a-zA-Z_][a-zA-Z_0-9]*)s*’,inText) mo_Name = p.group(0).strip()#去除group(0)的首尾空格 return mo_Name

def paraDeclare(inText ,portArr) : “”“ find parameter declare ”“” pat = r‘s’+ portArr + r‘s[wW]*?[;,)]’# s匹配任何空白字符,包括空格、制表符、换页符等等。等价于 [ f

v]。 ParaList = re.findall(pat ,inText)

return ParaList

def portDeclare(inText ,portArr) : “”“find port declare, Syntax: input [ net_type ] [ signed ] [ range ] list_of_port_identifiers

return list as : (port, [range]) ”“” port_definition = re.compile( r‘’ + portArr + r‘’‘ (s+(wire|reg)s+)* (s*signeds+)* (s*[.*?:.*?]s*)* (?P《port_list》.*?) (?= input | output | inout | ; | ) ) ’‘’, re.VERBOSE|re.MULTILINE|re.DOTALL )

pList = port_definition.findall(inText)

t = [] for ls in pList: if len(ls) 》=2 : t = t+ portDic(ls[-2:]) return t

def portDic(port) : “”“delet as : input a =c &d; return list as : (port, [range]) ”“” pRe = re.compile(r‘(.*?)s*=.*’, re.DOTALL)

pRange = port[0] pList = port[1].split(‘,’)#split以,为分隔符 pList = [ i.strip() for i in pList if i.strip() !=‘’ ] pList = [(pRe.sub(r‘1’, p), pRange.strip() ) for p in pList ]

return pList

def formatPort(AllPortList,isPortRange =1) : PortList = AllPortList[0] + AllPortList[1] + AllPortList[2]

str =‘’ if PortList !=[] : l1 = max([len(i[0]) for i in PortList])+2 l2 = max([len(i[1]) for i in PortList]) l3 = max(24, l1)

strList = [] for pl in AllPortList : if pl != [] : str = ‘,

’.join( [‘ ’*4+‘。’+ i[0].ljust(l3) + ‘( ’+ (i[0].ljust(l1 )+i[1].ljust(l2)) + ‘ )’ for i in pl ] ) strList = strList + [ str ]

str = ‘,

’.join(strList)

return str

def formatDeclare(PortList,portArr, initial = “” ): str =‘’ if initial !=“” : initial = “ = ” + initial

if PortList!=[] : str = ‘

’.join( [ portArr.ljust(4) +‘ ’+(i[1]+min(len(i[1]),1)*‘ ’ +i[0]).ljust(36)+ initial + ‘ ;’ for i in PortList]) return str

def formatPara(ParaList) : paraDec = ‘’ paraDef = ‘’ if ParaList !=[]: s = ‘

’.join( ParaList) pat = r‘([a-zA-Z_][a-zA-Z_0-9]*)s*=s*([wW]*?)s*[;,)]’ p = re.findall(pat,s)

l1 = max([len(i[0] ) for i in p]) l2 = max([len(i[1] ) for i in p]) paraDec = ‘

’.join( [‘parameter %s = %s;’ %(i[0].ljust(l1 +1),i[1].ljust(l2 )) for i in p]) paraDef = ‘#(

’ +‘,

’.join( [‘ 。’+ i[0].ljust(l1 +1) + ‘( ’+ i[0].ljust(l1 )+‘ )’ for i in p])+ ‘)

’ else: l1 = 6 l2 = 2 preDec = ‘

’.join( [‘parameter %s = %s;

’ %(‘PERIOD’.ljust(l1 +1), ‘10’.ljust(l2 ))]) paraDec = preDec + paraDec return paraDec,paraDef

3.2 创建文件夹和在文件中写入数据

“”“ 打开目标文件,这里的文件需要大家自己手动给出路径,当封装为插件后则是可以自动获取文件路径,这里仅做测试用”“”

target_dir_v = “C:\Users\25778\Desktop\VScode\test\”

“”“这里的i2c_dri.v是需要生成TB的原文件名字,需要给出名字,封装后也是自动获取”“”with open(target_dir_v + “i2c_dri.v”, ‘rb’) as f: f_info = chardet.detect(f.read()) f_encoding = f_info[‘encoding’]with open(target_dir_v + “i2c_dri.v”, encoding=f_encoding) as inFile: inText = inFile.read()

# removed comment,task,functioninText = delComment(inText)inText = delBlock (inText)

# moduel 。。. endmodule #moPos_begin = re.search(r‘(|^)module’, inText ).end()moPos_end = re.search(r‘endmodule’, inText ).start()inText = inText[moPos_begin:moPos_end]

name = findName(inText)paraList = paraDeclare(inText,‘parameter’)paraDec , paraDef = formatPara(paraList)

ioPadAttr = [ ‘input’,‘output’,‘inout’]input = portDeclare(inText,ioPadAttr[0])output = portDeclare(inText,ioPadAttr[1])inout = portDeclare(inText,ioPadAttr[2])

portList = formatPort( [input , output , inout] )input = formatDeclare(input ,‘reg’, ‘0’ )output = formatDeclare(output ,‘wire’)inout = formatDeclare(inout ,‘wire’)

timescale = ‘`timescale 1ns / 1ps

’clk = ‘’‘initialbegin forever #(PERIOD/2) clk=~clk;end’‘’rst = ‘’‘initialbegin #(PERIOD*2) rst_n = 1;end’‘’

operation = ‘’‘initialbegin

$finish;end’‘’

def openfile(file_dir): os.startfile(file_dir)

“”“这里的地址需要放到目标文件地址的上一级,封装成插件也是自动获取的并且创建TB文件夹,同时创建.v文件,tb文件的命名方式是目标文件的模块名字+tb”“”target_dir = target_dir_v + “\tb\”cr_time = time.strftime(‘%Y.%m.%d.%H.%M.%S’)Tb_target_dir = target_dir + name + “_”+ cr_time +‘.v’

if not os.path.exists(target_dir): os.mkdir(target_dir) file = open(Tb_target_dir,‘w’) file.close()else: file = open(Tb_target_dir,‘w’) file.close()

if __name__ == “__main__”: file_dir = Tb_target_dir openfile(file_dir) print(“TB file created successfully”)else: print(“Failed to create file of TB”)

“”“这里是将提取出来的字符写入生成的TB文件”“”

with open(Tb_target_dir,“w”) as OUTFile0: OUTFile0.write(timescale) OUTFile0.write(“module tb_”+name+“

”) if(paraDec!=‘’): OUTFile0.write(paraDec+“

”) OUTFile0.write(input+“

”) OUTFile0.write(output+“

”) if(inout!=‘’): OUTFile0.write(inout+“

”) OUTFile0.write(clk+“

”+rst+“

”) OUTFile0.write(name+“ ”+paraDef+“ ”+“u_”+name+“ (

”+portList+“

”+“);”) OUTFile0.write(operation+“

”+“endmodule”)

因为贴出的代码已经添加了比较详细的注释,具体的文章内容就不再赘述代码具体功能,python属于特别好上手的语言,主要去调取一些接口来实现了想要的功能。因为代码是边学边写,花的时间很短,代码质量不高,也欢迎大家批评指正。

四、代码测试

进入正题:

测试前准备好前面所说的环境(其他环境没有具体测试,python版本影响应该不大)

首先准备好一个测试的文件夹,一个需要测试的Verilog原文件,这里使用一个i2c_dri.v做一个简单的测试

大家根据代码描述格式和注释添加自己的测试路径,完成的基本功能就是创建一个TB文件夹,创建测试文件(名字是:模块名+创建测试文件的时间,如果有需要可以修改为和测试文件内模块名一样(tb_模块名)),自动用Vscode打开(前提是你电脑的.v文件默认打开方式是Vscode,如果不是那么就会使用默认的编辑器打开)

小结:如果觉得Tb文件名不好的,读者可以自行把文件名修改为和TB文件的模块名一致(从python代码中修改),其它功能和优化会陆续进行。

六、总结

使用python写这个脚本配置环境其实还是有些麻烦的,有更方便快捷的脚本语言也欢迎讨论。

写这个代码主要为了给习惯使用Vscode的读者提供一个基础的平台,可以在这个基础上实现自己定制化的脚本,后续也会封装成VScode插件,VHDL的自动生成测试文件脚本也基本测试完成,后续代码也会公布。如果大家有其他便利的脚本也欢迎和作者交流。

编辑:jq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1327

    浏览量

    109307
  • 代码
    +关注

    关注

    30

    文章

    4556

    浏览量

    66784
  • python
    +关注

    关注

    51

    文章

    4677

    浏览量

    83473

原文标题:在VScode中自动生成Verilog仿真文件(Python)

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    通过Bifaces生成的elf文件,如何在HighTec集成的UDE中进行仿真

    通过Bifaces生成的elf文件,如何在HighTec集成的UDE中进行仿真
    发表于 02-18 07:51

    下载vscode老版本离线插件vsix文件的流程

    下载vscode老版本离线插件vsix文件的流程如下
    的头像 发表于 12-20 09:26 3364次阅读
    下载<b class='flag-5'>vscode</b>老版本离线插件vsix<b class='flag-5'>文件</b>的流程

    何在MDK中生成data flash的HEX文件

    求助:如何在MDK中生成 data flash的HEX文件???
    发表于 08-23 06:22

    使用nuclei stuio将软件程序编为.Verilog,在vivado仿真出现的问题求解

    胡哥好,请问我的项目提示string is not a constant是怎么回事,是这一句出的提示 在进行这个仿真时, 我将e203_hbirdv2文件的rtl和tb所有文件
    发表于 08-16 08:20

    关于demo_nice生成的.verilog问题

    请问大家的demo_nice生成的.verilog是这样子的吗 用这个.verilog仿真的时候,nice_req_valid一直没有拉高,但是下载到板子上执行的时候又有结果打印输出
    发表于 08-16 07:28

    为什么按照demo_nice的例子编译时候无法生成.verilog文件呢?

    求问大神,我想像demo_nice例子一样仿真看核内部信号,尝试在IDE写内部寄存器值,然后转成.verilog用于vcs仿真读入ITCM,这总思路是对的吗,为什么按照demo_ni
    发表于 08-16 07:14

    IPcore挂在保留地址0X18000000上,用生成的.verilog文件仿真访问地址,刚开始跑就挂掉了的原因?

    老师,我们将我们自己的IPcore挂在保留地址0X18000000上,但用生成的.verilog文件仿真,访问我们IP地址0X18000000,但是刚开始跑就挂掉了,请问这是什么原因呀
    发表于 08-16 07:03

    NICE自定义指令如何被编译生成汇编文件和.verilog二进制文件

    在学习官方的demo_nice例程时,使用了 NICE Instruction的自定义指令,但是不太理解自己在.c文件定义的指令是如何被编译器识别,编译生成汇编文件和.
    发表于 08-16 06:46

    NICE_demo生成.verilog后在VIVADO仿真不正确如何解决?

    在NECLEI STUDIO中生成了.verilog,之后在VIVADO读取,仿真,没有输出相应的计算结果,而是ITCM的一些数据,如下图。 请问如果想让它输出正常计算结果应该如何
    发表于 08-12 06:58

    如何用Nuclei Studio来生成编译后的.verilog文件

    各位大佬,想问一下怎么用Nuclei Studio来生成编译后的.verilog文件
    发表于 08-11 13:02

    何在Nuclei Studio IDE编译lib库文件

    请教下: 1, 如何在Nuclei Studio IDE,编译lib库文件,类似于stm32 keil中生成的lib。如下图: 2, 如何在
    发表于 08-11 10:59

    何在VScode中用AI补全V/SV代码呢?

    昨天群里小伙伴说在VScode中用AI写verilog效果很好。小编以前编辑代码都是用gvim。抱着学习的心态,我在linux下尝试了下用VScode写代码。
    的头像 发表于 08-03 10:24 2109次阅读
    如<b class='flag-5'>何在</b><b class='flag-5'>VScode</b>中用AI补全V/SV代码呢?

    Verilog仿真激励举例

    Verilog 代码设计完成后,还需要进行重要的步骤,即逻辑功能仿真仿真激励文件称之为 testbench,放在各设计模块的顶层,以便对模块进行系统性的例化调用进行
    的头像 发表于 06-02 11:35 1132次阅读
    <b class='flag-5'>Verilog</b><b class='flag-5'>仿真</b>激励举例

    如何用vcs+verdi仿真Verilog文件

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件内容如下:
    的头像 发表于 05-11 17:03 1451次阅读
    如何用vcs+verdi<b class='flag-5'>仿真</b><b class='flag-5'>Verilog</b><b class='flag-5'>文件</b>

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的头像 发表于 05-08 16:00 4551次阅读
    如何用vcs+verdi<b class='flag-5'>仿真</b><b class='flag-5'>Verilog</b><b class='flag-5'>文件</b>并查看波形呢?