电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>业界新闻>行业新闻>Vivado,赛灵思面向未来十年的All Programmable神器

Vivado,赛灵思面向未来十年的All Programmable神器

12345下一页全文

本文导航

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

苹果十年造车终成空,转向all in AI

电子发烧友网报道(文/黄山明)近日,有知情人士透露,苹果公司将取消已经持续十年之久的“泰坦计划”,这也意味着苹果或将放弃向造车方向发力。造车项目组的许多员工将被转移到该公司的机器学习和人工智能部门
2024-02-29 00:11:003485

Hi3093工控MPU-米尔嵌入式核心板开发板

产自主可 海Hi3093面向服务器、工控机的高性能MPU产品海Hi3093是面向服务器、工控机市场推出的高性能MPU产品,包括 4xA55@1.0G
2024-03-19 14:53:42

开放原子开源大赛—基于OpenHarmony的团结引擎应用开发正式启动!

“基于OpenHarmony的团结引擎应用开发”是开放原子全球开源大赛下开设的新兴及应用题之一,本次题旨在鼓励更多开发者基于OpenHarmony 4.x版本,使用Unity中国团结引擎
2024-03-13 10:45:10

科普 | 一文了解FPGA技术知识

。 FPGA 方案和 ASIC 方案成本比较 4)技术趋势:制程迭代驱动 33 发展,平台型产品是未来。 1985 发明 FPGA 以来,其容量提高了一万倍以上,速度提高了一百
2024-03-08 14:57:22

苹果放弃造车计划:十年梦想终成空

行业芯事行业资讯
深圳市浮思特科技有限公司发布于 2024-03-01 14:14:20

CYUSB3014如何实现OTG的功能?

我们用的主平台是,想要通过CYUSB3014+FPGA实现OTG的功能,有几个问题,想请教一下。 1.是否有可以验证功能的EVK呢,我找了下FX3 DVK似乎买不到
2024-02-29 07:20:21

听劝!年后跳槽需谨慎……

面向未来设计 鸿蒙系统移植和裁剪定制 …… 《鸿蒙开发实战》 ArkTS实践 UIAbility应用 网络案例 ……
2024-02-27 22:08:34

IP承载网络面向Net5.5G将如何持续演进?

400GE IP承载网络即可以满足5G移动承载,千兆家宽及敏捷企业专线业务承载,也可以面向未来5.5G移动宽带、万兆家宽宽带、企业专线、企业园区等4类万兆联接升级,5-10年持续平滑演进,保护投资。
2024-02-21 15:40:5798

小型化高精度原子钟

芯片原子钟是一家为万物互联同频的时频科技企业,基于业界的时频科研与方案能力,打造出软硬一体化的时频产品体系,面向电力、交通、通信、智能楼宇、数据中心、前沿领域等核心场景提供解决方案,持续为
2024-02-02 09:39:57

介绍一款基于java的渗透测试神器-CobaltStrike

Cobalt Strike是一款基于java的渗透测试神器,常被业界人称为CS神器
2024-01-16 09:16:20201

有偿求助.芯片方案

芯片电路图方案
2024-01-12 18:19:16

高精度低功耗授时模块卫星板卡

高精度低功耗授时模块卫星板卡,是一家为万物互联同频的时频科技企业,基于业界的时频科研与方案能力,打造出软硬一体化的时频产品体系,面向电力、交通、通信、智能楼宇、数据中心、前沿领域等核心场景
2024-01-09 13:25:01

连饲料厂都上了码垛机器人,未来十年还有工可打么?

码垛机器人
jf_70551221发布于 2024-01-08 16:14:49

如何禁止vivado自动生成 bufg

Vivado中禁止自动生成BUFG(Buffered Clock Gate)可以通过以下步骤实现。 首先,让我们简要了解一下什么是BUFG。BUFG是一个时钟缓冲器,用于缓冲输入时钟信号,使其更稳
2024-01-05 14:31:06454

Vivado时序问题分析

有些时候在写完代码之后呢,Vivado时序报红,Timing一栏有很多时序问题。
2024-01-05 10:18:36291

跨周期,创未来!华秋喜获中国产业互联网十周年-杰出企业

从互联网、到移动互联网,再到产业互联网,中国互联网发展已经有20多年,近十年则是产业互联网迅猛发展的十年。近几年,随着中国数字经济的高速发展及国家的利好政策,产业数字化正迎来了十年来最好的时间窗口
2024-01-04 11:57:40

面向未来芯片的技术有哪些?

CMOS 逻辑由至少两个晶体管组成:一个 n 沟道 MOS FET 和一个 p 沟道 MOS FET。晶体管数量最少的逻辑电路是反相器(逻辑反相电路),由1个n沟道MOS和1个p沟道MOS组成。换句话说,它需要相当于两个晶体管的硅面积。
2023-12-28 12:34:14386

芯片原子钟

芯片原子钟是一家为万物互联同频的时频科技企业,基于业界的时频科研与方案能力,打造出软硬一体化的时频产品体系,面向电力、交通、通信、智能楼宇、数据中心、前沿领域等核心场景提供解决方案,持续为
2023-12-25 14:31:21

VIVADO安装问题解决

vivado出现安装问题刚开始还以为是安装路径包含中文空格了,重装的注意了一下,发现还是这个问题。。。。后来又一顿操作猛如虎,终于发现了问题。出这个问题的原因是vivado压缩包解压的路径包含中文了把解压文件放到不含中文的地方,再重新安装,安装路径也不能含中文。然后。。。。。然后就安装完成了
2023-12-22 10:56:330

VIVADO软件使用问题总结

【关键问题!!!!重要!!!】VIVADO会在MESSAGE窗口出提示很多错误和警告信息!
2023-12-15 10:11:22666

AD9625的开发板AD-FMCADC3-EBZ能否与Virtex7直接连接?

模数转换器AD9625的评估板AD-FMCADC3-EBZ能不能和的Virtex7系列FPGA开发板连接,我看到他们都具备JESD204B接口,物理接口上能直接连吗?还是说需要在使用转换接口来连接?
2023-12-08 08:25:12

英国Pickering公司推出新款PXIe单槽嵌入式控制器,具有全球首发面向未来的PCIe Gen 4能力

。新款PXIe嵌入式控制器第一次在单槽尺寸内提供了面向未来的PCIe Gen 4能力。 该款符合PXI-5 PXIe硬件规范2.0的控制器,集成了第11代英特尔酷i5处理器,32 GB DDR4内存
2023-12-01 09:21:15306

全球FPGA市场现状和发展前景展望

应用需求,多元化发展。   此外,集成了FPGA 架构、硬核CPU 子系统(ARM/MIPS/MCU)及其他硬核IP 的芯片已经发展到了一个“关键点”,它将在今后数十年中得到广泛应用,为系统设计人员提供
2023-11-08 17:19:01

Melexis入选2023第五届金辑奖中国汽车新供应链百强

MLX90423是一款面向未来的产品,适用于制动等ADAS应用。与其他线性位置感应解决方案相比,该器件具备极高的性价比。
2023-11-03 12:44:39367

MCU上面可以实现面向对象编程吗?

为什么都是用的面向过程编程
2023-10-24 07:39:28

超声波时使不灵怎么解决?

超声波时使不灵,怎么办呢??
2023-10-19 06:22:18

【KV260视觉入门套件试用体验】Vitis AI 构建开发环境,并使用inspector检查模型

量化 七、Vitis AI 通过迁移学习训练自定义模型 八、Vitis AI 将自定义模型编译并部署到KV260中 铺垫 Vitis AI 是什么? Vitis AI 是公司推出的一款综合 AI
2023-10-14 15:34:26

HMC954: 32 Gbps, 2:1 Mux with Programmable Output Voltage Data Sheet HMC954: 32 Gbps, 2:1 Mux with Programmable Output Voltage Data Sheet

电子发烧友网为你提供ADI(ADI)HMC954: 32 Gbps, 2:1 Mux with Programmable Output Voltage Data Sheet相关产品参数、数据手册
2023-10-13 18:32:27

HMC955: 32 Gbps, 1:2 Demux with Programmable Output Voltage Data Sheet HMC955: 32 Gbps, 1:2 Demux with Programmable Output Voltage Data Shee

电子发烧友网为你提供ADI(ADI)HMC955: 32 Gbps, 1:2 Demux with Programmable Output Voltage Data Sheet相关产品参数、数据手册
2023-10-13 18:32:14

面向未来汽车应用的LIN总线系统

电子发烧友网站提供《面向未来汽车应用的LIN总线系统.doc》资料免费下载
2023-10-09 15:00:310

金融业需要的大模型,是一个系统化工程

面向未来,金融机构应用大模型的能力,将成为关键竞争力
2023-09-21 09:14:47752

孟晚舟称将打造中国算力底座 华为All Intelligence启动

中国算力底座。 而且华为创始人任正非也曾直言“第四次工业革命基础就是大算力”。说明华为已经在积蓄力量。 按照孟晚舟的表述,华为All Intelligence启动,华为将从过去十年All IP
2023-09-20 17:17:30665

Vivado设计流程指导手册

Vivado 设计分为 Project Mode 和 Non-project Mode 两种模式,一般简单设计中,我们常用的是 Project Mode。在本手册中,我们将以一个简单的实验案例,一步一步的完成 Vivado的整个设计流程。
2023-09-20 07:37:39

vivado使用误区与进阶资料

想到要写这一系列关于工具和方法学的小文章是在半年多前,那时候Vivado®已经推出两,陆续也接触了不少客户和他们的设计。我所在的部门叫做“Tools & Methodology
2023-09-20 06:31:14

面向未来:汽车连接趋势TE解读

未来汽车到底怎么样?如果这个问题放到20年前,人们或许很难设想汽车在今天会同智能化与电动化如此密不可分。新技术正在以令人惊叹的速度迭代,这也意味着很多今天尚受争议的革新,很可能明天就会成为现实。
2023-09-18 15:27:05215

为什么说Vivado是基于IP的设计?

Vivado是Xilinx公司2012年推出的新一代集成开发环境,它强调系统级的设计思想及以IP为核心的设计理念,突出IP核在数字系统设计中的作用。
2023-09-17 15:37:311059

Vivado Design Suite教程:动态功能交换

电子发烧友网站提供《Vivado Design Suite教程:动态功能交换.pdf》资料免费下载
2023-09-14 15:13:430

Vivado设计套件Tcl命令参考指南

电子发烧友网站提供《Vivado设计套件Tcl命令参考指南.pdf》资料免费下载
2023-09-14 10:23:051

Vivado设计套件用户指南

电子发烧友网站提供《Vivado设计套件用户指南.pdf》资料免费下载
2023-09-14 09:55:182

Vivado Design Suite用户指南:使用约束

电子发烧友网站提供《Vivado Design Suite用户指南:使用约束.pdf》资料免费下载
2023-09-13 15:48:390

Vivado Design Suite用户指南:综合

电子发烧友网站提供《Vivado Design Suite用户指南:综合.pdf》资料免费下载
2023-09-13 15:47:400

Vivado设计套件用户指南:逻辑仿真

电子发烧友网站提供《Vivado设计套件用户指南:逻辑仿真.pdf》资料免费下载
2023-09-13 15:46:410

Vivado设计套件用户:使用Vivado IDE的指南

电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
2023-09-13 15:25:363

UltraFast Vivado HLS方法指南

电子发烧友网站提供《UltraFast Vivado HLS方法指南.pdf》资料免费下载
2023-09-13 11:23:190

Vivado使用指南

Vivado设计套件,是FPGA厂商公司2012发布的集成设计环境。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。这也是一个基于AMBA
2023-09-06 17:55:44

面向未来:汽车连接趋势TE解读

未来汽车到底怎么样?如果这个问题放到20年前,人们或许很难设想汽车在今天会同智能化与电动化如此密不可分。新技术正在以令人惊叹的速度迭代,这也意味着很多今天尚受争议的革新,很可能明天就会成为现实。
2023-08-31 17:38:43244

面向未来战场的可重构机器人

一是智能化。未来战场上的可重构机器人需要具备更高的智能水平。通过借鉴结合人工智能领域的最新研究成果,可重构机器人将能够实现自主感知、自主学习和决策能力的全方位提升。它们能够自主识别目标、判断敌我差距并迅速做出反应,提高作战效率和生存能力。
2023-08-30 15:59:08432

Vivado中BRAM IP的配置方式和使用技巧

FPGA开发中使用频率非常高的两个IP就是FIFO和BRAM,上一篇文章中已经详细介绍了Vivado FIFO IP,今天我们来聊一聊BRAM IP。
2023-08-29 16:41:492602

RISC-V 的未来在中国吗

2023 RISC-V 中国峰会上,倪光南院士表示,“RISC-V 的未来在中国,而中国半导体芯片产业也需要 RISC-V,开源的 RISC-V 已成为中国业界最受欢迎的芯片架构”。大家怎么看呢?
2023-08-26 14:16:43

vivado软件和modelsim软件的安装方法

本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
2023-08-07 15:48:001478

如何在Vivado中配置FIFO IP核

Vivado IP核提供了强大的FIFO生成器,可以通过图形化配置快速生成FIFO IP核。
2023-08-07 15:36:281616

如何实现基于FPGA Vivado的74系列IP封装呢?

双击桌面图标打开Vivado 2017.2,或者选择开始>所有程序>Xilinx Design Tools> Vivado 2017.2>Vivado 2017.2;
2023-07-30 09:39:11399

Vivado-jobs和threads的区别在哪?

Vivado中对工程进行综合时,会弹出如下对话框
2023-07-24 15:28:54489

Vivado调用Modelsim仿真

Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
2023-07-24 09:04:431817

vivado仿真流程

vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
2023-07-18 09:06:592131

vivado创建工程流程

vivado的工程创建流程对于大部分初学者而言比较复杂,下面将通过这篇博客来讲解详细的vivado工程创建流程。帮助自己进行学习回顾,同时希望可以对有需要的初学者产生帮助。
2023-07-12 09:26:571098

Timing Commander 软件 for Programmable Buffers

Timing Commander 软件 for Programmable Buffers
2023-07-11 20:27:380

安波福出席2023智能驾驶科技大会,获颁“未来出行生态合作伙伴”

在2023年上海车展期间,安波福更面向中国市场推出了创新的全栈式解决方案,涵盖系统架构、软件、硬件等多个层面,助力整车厂商加速打造面向未来的软件定义的汽车,成就更加可持续的移动出行未来
2023-07-06 17:36:40917

无所不知的神器构建

电子发烧友网站提供《无所不知的神器构建.zip》资料免费下载
2023-07-04 11:22:540

如何在Vivado中添加时序约束呢?

今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、时序约束编辑器(Edit Timing Constraints )
2023-06-26 15:21:111833

如何读懂Vivado时序报告

FPGA开发过程中,vivado和quartus等开发软件都会提供时序报告,以方便开发者判断自己的工程时序是否满足时序要求。
2023-06-23 17:44:00531

VCS独立仿真Vivado IP核的问题补充

在仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
2023-06-20 14:23:57622

微波滤波器的发展历史及未来趋势

展示了基于先进制造材料与工艺的现代滤波器研究现状,进一步分析了滤波器在通讯系统中的发展趋势与存在形态,为面向未来的新-代微波器件设计提供参考。
2023-06-19 15:40:19541

Vivado Schematic中的实线和虚线有什么区别?

Vivado Schematic中的实线和虚线有什么区别?
2023-06-16 16:53:42698

Vivado Schematic中的实线和虚线有什么区别?

Vivado Schematic中的实线和虚线有什么区别?
2023-06-06 11:13:39670

新人报道,arm芯片选择问题,请大家帮忙看看?

大家好,我的需求是将FPGA(K7)采集的数据发送至工控机(Linux),数据量为每秒5M字节,并解析工控机发送的控制指令(50字节/秒),有同个问题如下: 1.ARM选什么型号比较好
2023-06-02 18:25:04

是德科技KEYSIGHT N9000A 频谱分析仪

。通过 CXA 中的 X 系列可扩展性获得基本功能 -并期待更多。我们无法预测未来,但是德科技可以利用我们面向未来的测试资产帮助您塑造未来。X 系列是一种进化的信
2023-05-26 15:05:49

Seoul Semiconductor在2023年显示周上推出面向未来显示器的第二代LED技术

周(Display Week 2023),展示面向未来显示器的第二代LED技术,包括基于WICOP Pixel技术的microLED显示器和有助于用户保持眼睛健康的低蓝光(LBL)显示器。
2023-05-25 10:14:05402

报名开启!开放原子开源大赛OpenHarmony创新来啦!

及全场景OpenHarmony解决方案,促进万物互联产业的繁荣发展。本大赛面向所有OpenHarmony开发者,只要完成注册,认证即可报名参与OpenHarmony创新,获取OpenHarmony开源
2023-05-17 16:52:38

Vivado HDL编写示例

Vivado 软件提供了HDL编写中常用的示例,旨在帮助初学者更好地理解和掌握HDL编程,这里分享一下verilog代码示例。
2023-05-16 16:58:06627

Vivado综合参数设置

如果你正在使用Vivado开发套件进行设计,你会发现综合设置中提供了许多综合选项。这些选项对综合结果有着潜在的影响,而且能够提升设计效率。为了更好地利用这些资源,需要仔细研究每一个选项的功能。本文将要介绍一下Vivado的综合参数设置。
2023-05-16 16:45:501855

Vivado布线和生成bit参数设置

本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置布线参数以优化FPGA设计的性能,以及如何设置Vivado压缩BIT文件。
2023-05-16 16:40:452955

硬科技,创未来!第十五届深创福田预选-华秋第九届硬创大赛正式启幕

综合性服务平台,大赛始于2015由深圳华秋电子有限公司主办,面向硬科技初创企业及团队的赛事。大赛将协同硬科技产业生态伙伴,搭建创业项目与资本之间的桥梁,挖掘孵化行业未来领军企业。
2023-05-16 11:45:11

Timing Commander 软件 for Programmable Buffers

Timing Commander 软件 for Programmable Buffers
2023-05-15 19:16:040

​天工开物,面向未来,开源未来发展峰会隆重召开

5 月 13 日,开源未来发展峰会在重庆隆重召开。在上午的主论坛中,宣布了一系列合作共建项目,并发布开源战略合作重庆宣言。 本届峰会以“天工开物,面向未来”为主题,由重庆市发展改革委、重庆市科技局
2023-05-15 09:17:52609

中国信通院公布 5G 标准必要专利全球最新排名:华为第一、小米首次进入前

5G网络,全球厂商已发布一千八百余款5G终端。面向未来,5G-Advanced将在持续升级已有网络能力的基础上,增强AR/VR、物联网和空天一体化等新能力,以赋能新场景新业务的应用,并通过减少碳排放
2023-05-10 10:39:03

中国开源未来发展峰会“问道 AI 分论坛”即将开幕!

的发展,一个好的 AI 技术产品该如何迭代与运营…… 为了能够与广大开发者一起更好地拥抱 AI 技术的发展,5 月 13 日,由天工开物开源基金会、开源中国社区联合发起的,面向广大开发者的中国开源未来
2023-05-09 09:49:41

面向未来的颠覆性技术创新(一)

随着聊天机器人在理解和响应用户问题方面越来越好,它可能会不断进化并成为主流。未来的聊天机器人可能会带来丰富的会话用户界面,使用户可以自然地与计算机、智能手机和机器人等进行交互。
2023-05-08 09:38:15532

简述Vivado中的Elaborate的作用

Vivado的界面中,有个RTL ANALYSIS->Open Elaborated Design的选项,可能很多工程师都没有使用过。因为大家基本都是从Run Synthesis开始的。
2023-05-05 16:00:18803

Vivado中实现ECO功能

关于 Tcl 在 Vivado中的应用文章从 Tcl 的基本语法和在 Vivado 中的 应用展开,继上篇《用 Tcl 定制 Vivado 设计实现流程》介绍了如何扩展甚 至是定制 FPGA
2023-05-05 15:34:521612

用TCL定制Vivado设计实现流程

今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。
2023-05-05 09:44:46674

LTE-M:提供面向未来的物联网

与LTE-M相比,CAT-1有几个关键优势。它提供了更高的最大吞吐量,这可能需要某些应用程序,并且在上传或下载大量数据时功耗更低(传输速度更快,时间更短),以及略低的延迟。然而,大多数物联网设备很少需要高吞吐量或容量,因为它们本质上设计为以低数据速率运行。因此,这些优势并没有为智能城市和公用事业提供令人信服的用例。
2023-05-05 09:26:46812

Vivado生成IP核

vivado生成ip核后缺少一大片文件,之前是可以用的,中途卸载过Modelsim,用vivado打开过ISE工程,因为工程中很多IP核不能用所以在重新生成过程中发现了这个问题,还请大神告知是怎么回事?
2023-04-24 23:42:21

开启新时代,承接新使命,开放原子开源大赛OpenHarmony创新正式启航!

开放原子开源大赛OpenHarmony创新,正式启动啦! “OpenHarmony创新”是开放原子全球开源大赛下开设的创新赛道,面向企业、个人、高校师生等广大开发者,聚焦OpenHarmony
2023-04-23 11:15:44

Agilen安捷伦N9010A信号分析仪

Keysight Agilent HP 可以通过我们面向未来的测试资产帮助您塑造未来。X 系列是一种跨越仪器、测量和软件的信号分析的进化方法。它使您能够灵活地满足
2023-04-18 17:13:45

亮风台与砺算科技达成战略合作,共同搭建面向未来交互应用新场景

用户体验,搭建更多面向未来的交互应用新场景。砺算科技砺算科技致力于自主研发全性能TrueGPU软硬件体系,拥有自研架构,研发自有知识产权的国产GPU芯片,覆盖“端+云+边”全
2023-04-17 09:35:21681

Tcl在Vivado中的应用

Xilinx的新一代设计套件Vivado相比上一代产品 ISE,在运行速度、算法优化和功能整合等很多方面都有了显著地改进。但是对初学者来说,新的约束语言 XDC 以及脚本语言 Tcl 的引入则成为
2023-04-15 09:43:09956

未来十年中,20项影响世界的核心科技趋势

未来十年中,20项影响世界的核心科技趋势
2023-04-14 10:33:03544

虹科方案 | HK-Edgility面向未来的安全 SD-WAN

虹科分享HK-Edgility面向未来的安全SD-WAN通过上期的文章,我们了解到虹科HK-Edgility软件系统《随时随地保护您的远程工作解决方案》的解决方案。今天的文章,我们将带您了解虹科系
2023-04-13 14:52:59288

Agilent安捷N9010A信号分析仪

Keysight Agilent HP 可以通过我们面向未来的测试资产帮助您塑造未来。X 系列是一种跨越仪器、测量和软件的信号分析的进化方法。它使您能够灵活地满足现在和
2023-04-07 16:31:12

DA-ALL

PLUG AC INTERCHANGE ALL COUNTRY
2023-03-31 11:06:58

DO-VIVADO-DEBUG-USB-II-G-FL

VIVADO DEBUG FLOATING LICENSE
2023-03-30 12:04:13

DO-VIVADO-DEBUG-USB-II-G-NL

VIVADO DEBUG NODE-LOCKED LICENSE
2023-03-30 12:04:13

EF-VIVADO-DEBUG-FL

VIVADO DEBUG FLOATING LICENSE
2023-03-30 12:04:13

EF-VIVADO-DEBUG-NL

VIVADO DEBUG NODE-LOCKED LICENSE
2023-03-30 12:04:13

HDP-ALL-0004

HDP-ALL-0004
2023-03-29 22:43:01

NCEP40T20ALL

NCEP40T20ALL
2023-03-28 18:07:38

已全部加载完成