0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何禁止vivado自动生成 bufg

科技绿洲 来源:网络整理 作者:网络整理 2024-01-05 14:31 次阅读

在Vivado中禁止自动生成BUFG(Buffered Clock Gate)可以通过以下步骤实现。

首先,让我们简要了解一下什么是BUFG。BUFG是一个时钟缓冲器,用于缓冲输入时钟信号,使其更稳定和可靠。Vivado在编译设计过程中会自动检测到时钟信号,并自动生成BUFG来缓冲时钟。然而,在某些情况下,我们可能希望手动管理时钟信号。

要禁止Vivado自动生成BUFG,可以按照以下步骤进行操作:

  1. 打开Vivado工程,并进入项目导航器窗口。
  2. 选择下方的"IP"选项卡,展开"Clocking"选项。在这里,我们可以添加、编辑和管理时钟。如果没有显示"Clocking"选项,可能是因为您还没有添加任何时钟源。
  3. 点击"Add IP"按钮,在弹出的对话框中搜索"Clocking Wizard"并选择它,然后点击"OK"。
  4. 在Clocking Wizard的配置页面中,您可以添加所需的时钟,并设置各种参数,如频率、相位等。确保正确地配置时钟以满足设计需求。
  5. 点击"Next"按钮,在下一个页面上,您可以选择是否使用BUFG缓冲时钟信号。取消选择"Use global buffer (BUFG)"选项,并点击"Next"按钮。
  6. 在下一个页面上,您可以执行其他配置,如插入时钟域转换器、时钟分频等。根据您的设计需求进行配置,并点击"Next"按钮。
  7. 在最后一个页面上,点击"Finish"按钮以完成Clocking Wizard的配置。您将看到新添加的时钟在项目导航器窗口下方的"IP"选项卡中显示。
  8. 确保输入到其他模块的时钟信号使用了您在Clocking Wizard中手动配置的时钟,并删除自动生成的BUFG。

通过以上步骤,您成功禁止了Vivado自动生成BUFG。请注意,这种配置可能会增加设计的复杂性,需要在手动管理时钟方面更加谨慎。

在禁止Vivado自动生成BUFG时,需要注意一些额外的注意事项和限制:

  1. 禁用BUFG可能会导致时钟环剩余,这可能会导致时钟网络不稳定。在使用任何BUFG替代方案之前,请确保对时钟环剩余进行仔细分析和验证。
  2. 使用BUFG以外的其他时钟缓冲器可能会导致信号延迟和时钟抖动增加,因此需要进行详细的时序分析和优化。
  3. 建议在禁止Vivado自动生成BUFG之前,仔细评估对设计的影响,并根据整体设计目标权衡使用与禁用BUFG的优劣势。

总结来说,禁止Vivado自动生成BUFG可以通过手动配置时钟来实现。您可以使用Vivado中的Clocking Wizard来添加、编辑和管理时钟,并根据设计需求来设置参数。然后,将手动配置的时钟连接到其他模块,并删除Vivado自动生成的BUFG。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 缓冲器
    +关注

    关注

    6

    文章

    1569

    浏览量

    44884
  • 参数
    +关注

    关注

    11

    文章

    1398

    浏览量

    31472
  • 时钟信号
    +关注

    关注

    4

    文章

    374

    浏览量

    28063
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65102
收藏 人收藏

    评论

    相关推荐

    FPGA中时钟的用法

    FPGA中的BUFGCE_DIV/BUFG_GT以及Versal中的MBUFG/BUFG_GT等。对于这类时钟,Vivado自动创建时钟,并不需要用户手工通过create_gener
    的头像 发表于 01-11 09:50 594次阅读
    FPGA中时钟的用法

    vivado在impl中报错BUFG不足

    在syn中设定了bufg为32个,syn后的报告中也是32个bufg。但是执行impl后,vivado报错说是生成了35个bufg,超出芯片
    发表于 03-09 17:00

    如何避免通过BUFG推动逆变器

    frst_inv = ~frst [0];BUFG bufg_axi_rst_i(.O(axi_rst),. I(frst_inv));也没有帮助。我正在尝试使用Vivado v2014.1安德烈菲利波夫
    发表于 10-19 14:38

    放置错误BUFG实例位于下半部SLR

    。解决方案:请分析您的约束条件,以确保设备中SLR的上半部分或下半部分分配不超过16个BUFG我已经确定了28个未放置在vivado中的BUFG单元,但是我不确定如何限制它们以便它们处于特定的SLR中
    发表于 10-30 18:02

    Vivado生成的XDC约束的严重警告

    使用Vivado 2015.4我生成了两个FIFO和一个Aurora Core。我收到与Vivado自动生成的时序约束相关的严重警告。由于我
    发表于 11-02 11:30

    Vivado无法放置任何时钟资源

    大家好,我对Vivado的新版本有问题,因为Vivado停止了我的项目。Vivado 2015.4及更早版本工作得很好,并生成适当的比特流。 Viv
    发表于 11-02 11:32

    即使处于相同的时钟区域,Vivado也会抛出错误“BUFG和IO在不同的时钟区域”

    我在vivado 2016.3中看到了以下地方的错误错误:[放置30-675]支持全局时钟的IO引脚和BUFG对的次优放置。如果此子设计可接受此子优化条件,则可以使用.xdc文件中
    发表于 11-09 11:37

    如何检查在Vivado设计中使用BUFG的位置?

    在我合成一个设计之后,我发现估计BUFG的使用率是44,但是设备只有32个。所以我想我必须减少我手动使用的BUFG的数量。但是第一步是我需要在哪里使用这些BUFG,所以在打开合成设计之后,我怎样才能
    发表于 03-06 07:37

    在使用Vivado 2014.对于设计时该如何使用BUFG

    嗨,大家好,我正在使用Vivado 2014.对于设计,是使用BUFG或IBUF / OBUF原语到端口引脚还是工具将在分配PIN时自动获取缓冲区?我没有为时钟引脚A2YCLK0分配BUFG
    发表于 04-03 13:28

    使用vivado 2015.4为什么需要将BUFG放在设备的同一半侧?

    嗨我使用vivado 2015.4,我收到了这条消息:[放置30-150] MMCM-BUFG组件对的次优放置。如果此子设计可接受此子优化条件,则可以使用.xdc文件中
    发表于 04-24 07:44

    Vivado怎么生成部分位文件?

    HI,我正在使用Vivado 2014.2和SDK 2014.2进行部分重新配置的项目。我想使用AXIHWICAP IP执行部分重新配置,我必须生成部分位文件。但是Vivado工具没有部分重新配置许可证。有没有任何方法可以
    发表于 05-19 08:51

    Vivado生成bit流失败怎么解决?

    vivado 出现问题要先分析;vivado2017.4生成比特流失败,请教一下大家
    发表于 03-05 06:37

    Vivado生成IP核

    vivado生成ip核后缺少一大片文件,之前是可以用的,中途卸载过Modelsim,用vivado打开过ISE工程,因为工程中很多IP核不能用所以在重新生成过程中发现了这个问题,还请
    发表于 04-24 23:42

    节省BUFG的有效办法介绍

    高扇出 net 是时序收敛的一个常见瓶颈。所以,除了传统的降低扇出的方法之外,还可以将该 net 引入 BUFG,但前提是有可用的 BUFG。众所周知,BUFG 是全局时钟资源,在配置 MMCM 或 PLL 时会用到。
    发表于 04-10 18:06 4417次阅读
    节省<b class='flag-5'>BUFG</b>的有效办法介绍

    Vivado生成、固化烧录文件

    Vivado生成、固化烧录文件方法说明。
    发表于 04-21 11:08 49次下载