0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > hdl

hdl

+关注8人关注

文章:180 浏览:47107 帖子:125

hdl技术

verilog是什么_verilog的用途和特征是什么

verilog是什么_verilog的用途和特征是什么

本文首先介绍了verilog的概念和发展历史,其次介绍了verilog的特征与Verilog的逻辑门级描述,最后介绍了Verilog晶体管级描述与ver...

2018-05-14 标签:verilogHDL描述语言 4.4万 0

初学者学习Verilog HDL的步骤和经验技巧

初学者学习Verilog HDL的步骤和经验技巧

Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),Verilog HDL语言是一种以文本形...

2017-02-11 标签:VerilogHDLVHDL 3.6万 1

使用ModelSim自动生成状态机FSM的状态转换图

使用ModelSim自动生成状态机FSM的状态转换图

HDL代码设计中重要的内容之一就是设计程序的状态机FSM,状态转换控制着整个程序的流程,为了理解程序,我们经常需要把状态机的状态转换图画出来,这样看起来...

2017-02-10 标签:HDLModelSim状态机 1.5万 0

Modelsim、ActiveHDL等仿真器的基本原理

Modelsim、ActiveHDL等仿真器的基本原理

基于周期的仿真算法以时钟周期为处理单位(与时间无关)。其旨在时钟边沿进行计算,不管时钟周期内的时序,且只是用两值逻辑(0和1)。该算法主要针对的是大规模...

2018-06-14 标签:电路仿真器HDL 1.2万 0

IC设计流程之全定制和半定制

一个完整的半定制设计流程应该是:RTL代码输入、功能仿真、逻辑综合、形式验证、时序/功耗/噪声分析,布局布线(物理综合)、版图验证。

2018-11-24 标签:ichdl 1.1万 0

RTL级设计的基本要素和步骤是什么

RTL级设计的基本要素和步骤是什么

  综合(Logic Synthesize)是指将HDL语言、原理图等设计输入翻译成由与、或、非门等基本逻辑单元组成的门级连接(网表),并根据设计目标与...

2023-01-17 标签:寄存器HDLRTL 9714 0

FPGA定点小数的常规格式、相对于浮点小数的优势与劣势和计算的概述

FPGA定点小数的常规格式、相对于浮点小数的优势与劣势和计算的概述

所谓定点小数,就是小数点固定地隐含在某一位置上的数据。由于小数点的位置是固定的,所以就没有必要储存它(如果储存了小数点的位置,那就是浮点数了)。而小数的...

2018-06-12 标签:FPGAHDLCORDIC算法 8970 0

荐读:如何学习FPGA?为什么你会觉得FPGA难学?

很多人问我该如何去学FPGA,那么今天咱们就来聊一聊。 一、入门首先要掌握HDL(HDL=verilog+VHDL)。 第一句话是:还没学数电的先学数电...

2018-05-22 标签:FPGAHDLC语言 8823 0

8位无符号数乘法运算HDL设计实例

8位无符号数乘法运算HDL设计实例

加减乘除是运算的基础,也是我们在小学课堂里的重点必修课。乘除运算虽然对于我们今天来说还是小菜一碟,让计算机做起来也是九牛一毛不足挂齿,但是要真探究一下计...

2017-02-11 标签:HDL 8718 0

常用的hdl语言有哪两种

Verilog HDL和VHDL是目前两种最常用的硬件描述语言,同时也都是IEEE标准化的HDL语言。

2020-08-25 标签:HDLvhdlVerilog HDL 8695 0

如何实现SpinalHDL 环境搭建

如何实现SpinalHDL 环境搭建

据说SpinalHDL相比chisel更具优势,这让我有了兴趣,今天开始安装搭建。平常用的linux系统的,但是Intel IDEA安装在Ubuntu上...

2021-08-24 标签:软件HDL 8250 0

新手福音:概述学习FPGA的一些常见误区

很多刚开始学习FPGA的朋友们经常会遇上一些误区而无从解决,FPGA为什么是可以编程的?通过HDL语言怎么看都看不出硬件结构?...本文就这个方面进行解析。

2013-09-27 标签:FPGAHDL可编程逻辑器件 8076 3

浅谈Verilog复杂时序逻辑电路设计实践

浅谈Verilog复杂时序逻辑电路设计实践

笔试时也很常见。 [例1] 一个简单的状态机设计--序列检测器 序列检测器是时序数字电路设计中经典的教学范例,下面我们将用Verilog HDL语言来描...

2021-08-10 标签:VerilogHDL读写器 6599 0

浅谈VHDL/Verilog的可综合性以及对初学者的一些建议

浅谈VHDL/Verilog的可综合性以及对初学者的一些建议

最近在写代码的时候总是在思考,我写的这个能被综合吗?总是不放心,或是写完了综合的时候出问题,被搞的非常烦恼,虽然看了一些书,比如对组合逻辑用阻塞赋值,时...

2017-02-11 标签:VerilogHDLVHDL 6527 1

基于IP核(IP core)技术的SoC设计

1 概述 随着集成电路(Integrated Circuit,IC)设计技术和工艺水平进入超深亚微米,集成电路规模越来越大,芯片设计规模和设计复杂度也急...

2012-11-23 标签:SoCHDLIP核 6405 0

如何高效的编写Verilog HDL?

如何高效的编写Verilog HDL?

Vim其实一款Linux系统下常用的编辑器,在Windows下叫Gvim,由于它的高度可定制性,操作命令,使得编程者在编写代码的时候双手甚至可以不用离开...

2018-02-12 标签:hdl 6075 0

小白FPGA入门,这几个方面要注意!

FPGA的基础就是数字电路和HDL语言,想学好FPGA的人,建议床头都有一本数字电路的书,不管是哪个版本的,这个是基础,多了解也有助于形成硬件设计的思想...

2017-04-19 标签:fpgaedahdl 4963 0

如何在FPGA上实现HDL代码完成MATLAB转换

如果您正在使用 MATLAB 建模数字信号处理(DSP)或者视频和图像处理算法,并且最终将其用于 FPGA 或 ASIC,本文可能将为你带来帮助。 从 ...

2020-11-08 标签:fpgamatlabasic 4909 0

Vivado UltraFast设计方法中文版讲解

Vivado UltraFast设计方法中文版讲解

这个培训将会深度介绍适于Xililnx 可编程门阵列的HDL代码风格, 产生和验证时序约束的正确方法, 和如何利用分析和布局规划工具分配时钟和管脚,产生...

2018-05-23 标签:fpgaxilinxhdl 4206 0

如何进行FPGA设计开发FPGA设计的经验技巧说明

大学时代第一次接触FPGA至今已有10多年的时间,至今记得当初第一次在EDA实验平台上完成数字秒表、抢答器、密码锁等实验时那个兴奋劲。当时由于没有接触到...

2018-12-15 标签:FPGAEDAHDL 4020 0

相关标签

相关话题

换一批
  • 高云半导体
    高云半导体
    +关注
    广东高云半导体科技股份有限公司提供编程设计软件、IP核、参考设计、演示板等服务的完整FPGA芯片解决方案。
  • Zedboard
    Zedboard
    +关注
    ZedBoard是基于Xilinx Zynq™-7000扩展式处理平台(EPP)的低成本开发板。此板可以运行基于Linux,Android,Windows®或其他OS/ RTOS的设计。
  • I2S
    I2S
    +关注
    I2S总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专门用于音频设备之间的数据传输,广泛应用于各种多媒体系统。
  • SoC FPGA
    SoC FPGA
    +关注
  • 简单PLD
    简单PLD
    +关注
  • UltraScale
    UltraScale
    +关注
  • 逻辑芯片
    逻辑芯片
    +关注
    逻辑芯片又叫可编程逻辑器件,英文全称为:programmable logic device 即 PLD。PLD是做为一种通用集成电路产生的,他的逻辑功能按照用户对器件编程来确定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。
  • 16nm
    16nm
    +关注
  • 三人表决器
    三人表决器
    +关注
  • Samtec
    Samtec
    +关注
    Samtec(申泰)公司是一家总部位于美国,致力于研发和生产高速数据通信连接器的供应商,Samtec连接器使用100%液晶聚合物以及纯磷青铜和铍铜制造,拥有军品级的技术参数,其产品包括各种通用标准的连接器以及通信线缆,并且为客户提供解决方案。
  • NCO
    NCO
    +关注
  • HLS
    HLS
    +关注
    HLS(HTTP Live Streaming)是Apple的动态码率自适应技术。主要用于PC和Apple终端的音视频服务。包括一个m3u(8)的索引文件,TS媒体分片文件和key加密串文件。
  • 显示模块
    显示模块
    +关注
  • 信息娱乐系统
    信息娱乐系统
    +关注
  • 京微雅格
    京微雅格
    +关注
      京微雅格(北京)科技有限公司致力于为系统制造商提供高集成度、高灵活性、高性价比的可编程逻辑器件、可重构微处理器及相关软件设计工具
  • 智能魔镜
    智能魔镜
    +关注
    随着物联网技术的发展,搭载这一技术的家电也越来越多的出现,今年十分火热的智能音箱就是物联网技术和人工智能结合的代表,智能魔镜这种基安防,终端,自动化,人工智能的物联网产品已经成为了不可阻挡的趋势,在未来,将更加全面、智能、便捷的走进越来越多人们的生活。
  • Cyclone V
    Cyclone V
    +关注
  • iCE40
    iCE40
    +关注
      为了满足市场需求,莱迪思发布了iCE40 Ultra™产品系列。据莱迪思总裁兼CEO Darin G. Billerbeck介绍,相比竞争对手的解决方案,iCE40 Ultra FPGA在提供5倍更多功能的同时减小了30%的尺寸。并且相比以前的器件,功耗降低高达75%。
  • 空中客车
    空中客车
    +关注
    空中客车公司(Airbus,又称空客、空中巴士),是欧洲一家飞机制造 、研发公司,1970年12月于法国成立。 空中客车公司的股份由欧洲宇航防务集团公司(EADS)100%持有。
  • 工业电机
    工业电机
    +关注
  • 谐振变换器
    谐振变换器
    +关注
    谐振变换器主要包括三种基本的类型:串联谐振变换器(SRC)、并联谐振变换器(PRC)和串并联谐振变换器(SPRC)。谐振变换器由开关网络Ns、谐振槽路NT、整流电路NR、低通滤波器NF等部分组成。
  • Digilent
    Digilent
    +关注
  • efpga
    efpga
    +关注
    eFPGA,全称为嵌入式FPGA(Embedded FPGA),顾名思义是将类似于FPGA的可编程逻辑阵列“嵌入”到ASIC或SoC中。
  • 国产FPGA
    国产FPGA
    +关注
  • 图像信号处理器
    图像信号处理器
    +关注
  • UltraScale架构
    UltraScale架构
    +关注
  • 时钟驱动器
    时钟驱动器
    +关注
  • 数字预失真
    数字预失真
    +关注
  • TMS320C6416
    TMS320C6416
    +关注
  • BB-Black
    BB-Black
    +关注

关注此标签的用户(8人)

droprise jf_58118706 七月的盾 Send_092 Sentend 供货商 和好吧vvffccvkoo undefined_c1a

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题