0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > fpga设计

fpga设计

fpga设计

+关注9人关注

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

文章:351 浏览:26273 帖子:90

fpga设计技术

使用Altera Interface Planner高效设计FPGA引脚布局

使用Altera Interface Planner高效设计FPGA引脚布局

Altera Interface Planner 用于探索设备的外设架构,并高效地分配接口。通过实时进行拟合和合法性检查,防止非法引脚分配。

2024-03-22 标签:pcbFPGA设计Altera 988 0

FPGA设计的IP和算法应用综述

FPGA设计的IP和算法应用综述

IP(Intelligent Property) 核是具有知识产权核的集成电路芯核总称,是经过反复验证过的、具有特定功能的宏模块,与芯片制造工艺无关,可...

2024-03-07 标签:FPGA设计寄存器EDA工具 696 0

请问create_generated_clock该怎么使用呢?

请问create_generated_clock该怎么使用呢?

FPGA设计中,生成时钟分为两大类:自动生成时钟和用户生成时钟。

2024-01-25 标签:FPGA设计PLL电路 689 0

异步复位异步释放会有什么问题?FPGA异步复位为什么要同步释放呢?

异步复位异步释放会有什么问题?FPGA异步复位为什么要同步释放呢?

一般来说,复位信号有效后会保持比较长一段时间,确保 register 被复位完成。但是复位信号释放时,因为其和时钟是异步的关系,我们不知道它会在什么时刻被释放。

2024-01-24 标签:FPGA设计状态机FDR 1145 0

浅析FPGA的调试-内嵌逻辑分析仪(SignalTap)原理及实例

浅析FPGA的调试-内嵌逻辑分析仪(SignalTap)原理及实例

对于FPGA调试,主要以Intel FPGA为例,在win10 Quartus ii 17.0环境下进行仿真和调试,开发板类型EP4CE15F17。

2024-01-12 标签:FPGA设计寄存器状态机 894 0

跨时钟域的解决方案

跨时钟域的解决方案

在很久之前便陆续谈过亚稳态,FIFO,复位的设计。本次亦安做一个简单的总结,从宏观上给大家展示跨时钟域的解决方案。

2024-01-08 标签:FPGA设计fifoCDC 381 0

怎样减少路径上的LUT个数使速度更快呢?

怎样减少路径上的LUT个数使速度更快呢?

对FPGA设计而言如果想速度更快则应当努力减少路径上LUT的个数,而不是逻辑级数。

2023-12-27 标签:FPGA设计LUT 215 0

FPGA设计技巧—多时钟域和异步信号处理解决方案

FPGA设计技巧—多时钟域和异步信号处理解决方案

有一个有趣的现象,众多数字设计特别是与FPGA设计相关的教科书都特别强调整个设计最好采用唯一的时钟域。

2023-12-22 标签:FPGA设计信号处理同步器 937 0

【Soc级系统防御】Soc硬件木马与电子链学习

【Soc级系统防御】Soc硬件木马与电子链学习

随着尖端工艺的代工成本和现代片上系统(system-on-a-chip,SoC)平台设计复杂性的不断提高,曾经局限于一个国家甚至一家公司的IC供应链已经...

2023-11-20 标签:处理器FPGA设计场效应晶体管 887 0

如何设计一个参数化的数据选择器

如何设计一个参数化的数据选择器

在FPGA设计中,大部分情况下我们都得使用到数据选择器。并且为了设计参数化,可调,通常情况下我们需要一个参数可调的数据选择器,比如M选1,M是可调的参数。

2023-11-20 标签:FPGA设计Verilog数据选择器 563 0

blue-ethernet高性能FPGA网络数据包处理项目简介

blue-ethernet高性能FPGA网络数据包处理项目简介

blue-ethernet项目使用Bluespec SystemVerilog(BSV)硬件描述语言实现了一系列在FPGA上加速网络数据包处理的硬件模块。

2023-11-13 标签:FPGA设计以太网UDP协议 785 0

AMD -Xilinx FPGA功耗优化设计简介

仔细检查一下设计中的PLL,是不是可以把两个PLL整合为一个;或者是否可以对时钟频率做一些“整合”,尽量减少时钟频率数量,从而省去一个PLL。如果可以,...

2023-11-12 标签:amdFPGA设计存储器 434 0

FIFO为什么不能正常工作?

FIFO为什么不能正常工作?

FIFO为什么不能正常工作?复位信号有效长度不够,接口时序不匹配,可看下面这篇文章。 本文将介绍: 非DFX工程如何确保异步FIFO自带的set_max...

2023-11-02 标签:fpgaFPGA设计fifo 569 0

如何优化FPGA HLS设计呢?

如何优化FPGA HLS设计呢?

用工具用 C 生成 RTL 的代码基本不可读。以下是如何在不更改任何 RTL 的情况下提高设计性能。

2023-10-30 标签:arm滤波器FPGA设计 619 0

FPGA设计是否需要学习SystemVerilog

FPGA设计是否需要学习SystemVerilog

Verilog和System Verilog是同一硬件描述语言(HDL)的同义名称。

2023-10-26 标签:FPGA设计仿真器HDL语言 644 0

FPGA零基础之Vivado-超声波驱动设计

FPGA零基础之Vivado-超声波驱动设计

声音是我们日常生活中不可缺少的一种信号,在传递信息的同时,也在生活中的各个领域有较多的应用。

2023-10-18 标签:驱动器FPGA设计接收器 441 0

如何在FPGA设计环境中加入时序约束?

如何在FPGA设计环境中加入时序约束?

在给FPGA做逻辑综合和布局布线时,需要在工具中设定时序的约束。通常,在FPGA设计工具中都FPGA中包含有4种路径:从输入端口到寄存器,从寄存器到寄存...

2023-10-12 标签:fpgaFPGA设计时钟 914 0

如何使用Power Design Manager(PDM)进行功耗评估?

如何使用Power Design Manager(PDM)进行功耗评估?

在基于FPGA和 SoC器件的产品设计过程中,从器件选择到系统级电源设计、散热设计,电源功率估算对于设计方案确定至关重要;早

2023-10-08 标签:电源设计FPGA设计PDM 1038 0

FPGA设计中的模块化设计

FPGA设计中的模块化设计

模块化设计是FPGA设计中一个很重要的技巧,它能够使一个大型设计的分工协作、仿真测试更加容易,代码维护或升级也更加便利。

2023-10-07 标签:FPGA设计CLK 864 0

如何FPGA内部的RAM进行读改写操作

如何FPGA内部的RAM进行读改写操作

存储器是FPGA设计中的常用单元,对存储器的操作,最基础的就是读写操作,还有一种就是读改写操作,即先读出存储器中的数据,对其进行修改后,再写入存储器。这...

2023-09-28 标签:fpgaFPGA设计存储器 1814 0

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • FPGA芯片
    FPGA芯片
    +关注
    FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • FPGA开发板
    FPGA开发板
    +关注
    FPGA开发板在基于MCU、定制ASIC和体积庞大的电线束来实现引擎及控制电子的系统方案已发展至接近其技术和应用极限,汽车工业正面临新的设计挑战。过去汽车电子产品的开发周期是漫长的,而许多汽车制造商现正致力于在更短的时间内,装备消费者所需的新一代汽车。
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • QUARTUS II
    QUARTUS II
    +关注
    Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  • PCB封装库
    PCB封装库
    +关注
  • 语音交互
    语音交互
    +关注
  • AD09
    AD09
    +关注
  • PDN
    PDN
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Artix-7
    Artix-7
    +关注
      Artix-7 系列:相对于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封装、统一的 Virtex 系列架构,能满足低成本大批量市场的性能要求,这也正是此前 ASSP、ASIC 和低成本 FPGA 所针对的市场领域。
  • VHDL代码
    VHDL代码
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • powerlink
    powerlink
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • AXI
    AXI
    +关注
    AXI是一种总线协议,该协议是ARM公司提出的AMBA3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持Outstanding传输访问和乱序访问,并更加容易进行时序收敛。AXI是AMBA中一个新的高性能协议。
  • FPGA教程
    FPGA教程
    +关注
  • 驱动电流
    驱动电流
    +关注
  • Kintex-7
    Kintex-7
    +关注
      Kintex-7系列:Kintex-7 系列是一种新型 FPGA,能以不到 Virtex-6 系列一半的价格实现与其相当性能,性价比提高了一倍,功耗降低了一半。
  • 时钟源
    时钟源
    +关注
    时钟源用来为环形脉冲发生器提供频率稳定且电平匹配的方波时钟脉冲信号。它通常由石英 晶体振荡器和与非门组成的正反馈振荡电路组成,其输出送至环形脉冲发生器。
  • 红外触摸屏
    红外触摸屏
    +关注
  • Protel DXP
    Protel DXP
    +关注
  • AD采样
    AD采样
    +关注
      AD转换采样频率指完成一次从模拟转换到数字的AD转换所需时间的倒数,模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号;而AD分辨率指数字量变化一个最小量时模拟信号的变化量。

关注此标签的用户(9人)

1114502831 宋从超 唐老鸭 taylors liult03 阮如媛 蓝天之约lc undefined_c1a 三四二一

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题