电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA状态机设计思想

FPGA状态机设计思想

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

状态机编程实例-状态表法

上篇文章,使用嵌套switch-case法的状态机编程,实现了一个炸弹拆除小游戏。本篇,继续介绍状态机编程的第二种方法:状态表法,来实现炸弹拆除小游戏的状态机编程。
2023-06-20 09:05:051190

FPGA工程师:如何在FPGA中实现状态机

安全高效的状态机设计对于任何使用FPGA的工程师而言都是一项重要技能。选择Moore状态机、Mealy状态机还是混合机取决于整个系统的需求。无论选择哪种类型的状态机,充分掌握实现方案所需的工具和技巧,将确保您实现最佳解决方案。本文主要介绍如何在FPGA中实现状态机
2013-03-29 15:02:5712361

采用米利型的状态机电路设计

首先可以确定采用米利型状态机设计该电路。因为该电路在连续收到信号0101时,输出为1,其他情况下输出为0,所以采用米利型状态机
2020-09-08 14:06:597422

嵌入式开发绝招:状态机+事件驱动框架

状态机是一种思想,事件驱动也是一种思想
2023-07-11 10:14:40465

SaberRD状态机建模工具介绍(一)什么是状态机建模

状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
2023-12-05 09:51:02430

Verilog状态机+设计实例

在verilog中状态机的一种很常用的逻辑结构,学习和理解状态机的运行规律能够帮助我们更好地书写代码,同时作为一种思想方法,在别的代码设计中也会有所帮助。 一、简介 在使用过程中我们常说
2024-02-12 19:07:391818

FPGA Verilog HDL 设计实例系列连载--------有限状态机设

关系,因而在状态图中每条转移边需要包含输入和输出的信息。状态编码  数字逻辑系统状态机设计中常见的编码方式有:二进制码(Binary码)、格雷码(Gray码)、独热码(One-hot码)以及二一十进制码(BCD
2012-03-09 10:04:18

FPGA---如何写好状态机,详细下载pdf

今天给大侠带来如何写好状态机状态机是逻辑设计的重要内容,状态机的设计水平直接反应工程师的逻辑功底,所以很多公司在硬件工程师及逻辑工程师面试中,状态机设计几乎是必选题目。本篇在引入状态机设思想
2020-09-28 10:29:23

FPGA/CPLD状态机稳定性研究

FPGA/CPLD设计中频繁使用的状态机,常出现一些稳定性问题,本文提出了一些解决方法,实验表明该方法有效地提高了综合效率.  随着大规模和超大规模FPGA/CPLD器件的诞生和发展,以HDL
2012-01-12 10:48:26

FPGA状态机

FPGA状态机的文书资料
2014-09-14 19:01:20

FPGA状态机一段式简介

(41)FPGA状态机一段式1.1 目录1)目录2)FPGA简介3)Verilog HDL简介4)FPGA状态机一段式5)结语1.2 FPGA简介FPGA(Field Programmable
2022-02-23 06:45:18

FPGA状态机为什么会跑飞

1.1 FPGA状态机跑飞原因分析1.1.1 本节目录1)本节目录;2)本节引言;3)FPGA简介;4)FPGA状态机跑飞原因分析;5)结束语。1.1.2 本节引言“不积跬步,无以至千里;不积小流
2021-07-29 06:15:53

FPGA状态机跑飞的原因是什么

FPGA状态机为什么会跑飞呢?FPGA状态机跑飞的原因是什么?
2021-11-01 07:52:44

FPGA有限状态机

FPGA有限状态机
2013-09-08 08:45:17

状态机是什么意思

刚开始学fpga,读资料,有些名词不太理解,比如状态机,我只知道fpga就是由查找表和触发器构成的,状态机这个概念是怎么提出来的,干什么使得,求大神讲解,什么情况下用到
2013-04-25 18:35:55

状态机设计指导

状态机设计指导
2012-08-20 23:45:55

状态机设计的例子

本帖最后由 eehome 于 2013-1-5 09:56 编辑 状态机设计的例子
2012-08-19 23:01:07

状态机设计问题

状态机设计中always @(*) beginnext = 2'bx;case (state)idle: next=s1;s1: next=s2;s2: next=idle;end以上代码先给
2021-10-06 18:49:10

Labview状态机

本帖最后由 afnuaa 于 2017-5-24 11:22 编辑 状态机是一种普遍而有效的架构,我们可以利用状态机设计模式来实现状态图或流程图的算法。State Machines
2017-05-23 17:11:34

verilog有限状态机设

状态机进入一个状态后,是把里面里面的代码执行一遍,还是一直执行,一直到状态发生改变
2014-04-03 18:38:21

FPGA开源教程连载】第七章 状态机设计实例

复位有效后,只有当输入发生变化时状态才会根据设计进行转换,且没有出现转移错误,led的状态也能根据状态来进行翻转。图8-5 仿真波形文件至此就完成了一个简单的状态机的设计,在后面的例程中会经常用到状态机设思想,这里也就不再对二段式、三段式状态机展开。小梅哥芯航线电子工作室
2016-12-26 00:17:38

【连载视频教程(七)】小梅哥FPGA设计思想与验证方法视频教程之例说状态机

通过简单的例子介绍了FPGA设计中最常见的设计思想——状态机,通过状态机,可以实现很复杂的时序控制内容,学好状态机,是掌握FPGA技术的重中之重。接下来,大家请看视频教程,由于视频中有部分网络的链接
2015-09-25 12:26:01

【连载视频教程(八)】小梅哥FPGA设计思想与验证方法视频教程之基于状态机的独立按键消抖

,主要通过独立按键消抖这样一个实验,来进一步举例讲解状态机的设计思想,独立按键消抖有多种方式可以实现,这里采用状态机的方式,既能方便大家理解按键消抖的整个过程,又能进一步领会状态机的设计思想。 接下来
2015-09-29 14:19:42

【连载视频教程(十九)】小梅哥FPGA设计思想与验证方法视频教程之基于线性序列机设思想的串行ADC驱动

` 本帖最后由 小梅哥 于 2016-1-18 13:02 编辑 大家好,今天,小梅哥继续连载本人精心录制和编辑的FPGA学习系列教程——《小梅哥FPGA设计思想与验证方法视频教程
2015-12-28 16:57:49

【连载视频教程(十八)】小梅哥FPGA设计思想与验证方法视频教程之基于线性序列机设思想的串行DAC(TLC5620)驱动

,有对开发套件感兴趣的也可以加技术支持群472607506了解咨询,或者直接某宝搜索“芯航线FPGA”今天是视频第十八讲,主要介绍了FPGA设计中除状态机的设计思想外另外一种常见的设计方式——线性序列机
2015-12-16 09:11:00

一个简单的状态机设

笔试时也很常见。[例1] 一个简单的状态机设计--序列检测器序列检测器是时序数字电路设计中经典的教学范例,下面我们将用Verilog HDL语言来描述、仿真、并实现它。序列检测器的逻辑功能...
2022-02-16 07:29:49

什么是状态机状态机的三种实现方法

的编程水平呢?学会一种好的编程框架或者一种编程思想,可能会受用终生!比如模块化编程,框架式编程,状态机编程等等,都
2021-12-22 06:51:58

如何写好状态机

的硬件和逻辑工程师面试中,状态机设计几乎是必选题目。本章在引入状态机设计思 想的基础上,重点讨论如何写好状态机。 本文主要内容如下: 状态机的基本概念; 如何写好状态机; 使用 Synplify Pro 分析 FSM。[hide] [/hide]
2011-10-24 11:43:11

明德扬视频分享--点拨FPGA课程---第十四章 状态机设

1.状态机设计原则2.状态机练习13.状态机练习1答案4.波形对比方法5.状态机练习26.状态机练习2答案7.状态机练习38.状态机练习3答案9.状态机练习410.状态机练习4答案11.状态机练习
2015-10-31 13:52:12

简要介绍单片机C语言的状态机编程思想

有限状态机是什么?怎样使用状态机思想进行编程呢?有哪些建议?
2022-02-25 06:19:58

这种状态机设计设计思路的出发点是什么?

`书上说这是设计复杂状态机的方法,但是我分析一下,这种状态机设计的方法和一般用always和case设计的状态机的结果是不一样的,那么这种状态机有没有实际应用的价值,毕竟他和正常的状态机不一样,另外
2015-01-17 17:42:40

零基础学FPGA(八)浅谈状态机

。//***********************************************************//**********************小墨笔记*****************************//可综合的状态机设计的典型方法//小墨同学于2014年5月31日在金
2015-04-07 17:21:32

高效安全的状态机设

本帖最后由 eehome 于 2013-1-5 09:56 编辑 高效安全的状态机设
2012-08-13 17:53:44

如何写好状态机

如何写好状态机:状态机是逻辑设计的重要内容,状态机的设计水平直接反应工程师的逻辑功底,所以许多公司的硬件和逻辑工程师面试中,状态机设计几乎是必选题目。本章在引入
2009-06-14 19:24:4996

状态机设

状态机设计:8.1.1 数据类型定义语句TYPE语句的用法如下:TYPE 数据类型名IS 数据类型定义OF 基本数据类型;或TYPE 数据类型名IS 数据类型定义;TYPE st1 IS ARRAY ( 0 TO 15 ) OF STD_L
2009-08-09 23:07:0336

基于有限状态机的工控系统软件设计

通过分析工控系统的特性,提出采用状态机思想进行工控软件设计。详细论述了高速状态机的错步问题以及控制层中状态机状态划分问题。结合具体的应用实例,给出了基于状
2009-08-10 14:26:0830

状态机举例

状态机举例 你可以指定状态寄存器和状态机状态。以下是一个有四种状态的普通状态机。 // These are the symbolic names for states// 定义状态的符号名称parameter  [1
2009-03-28 15:18:28893

高速环境下FPGA或CPLD中的状态机设

    本文给出了采用这些技术的高速环境状态机设计的规范及分析方法和优化方法,并给出了相应的示例。       为了使FPGA或CPLD中的状态机设
2009-04-15 11:27:04600

#硬声创作季 #FPGA FPGA-37-02 IIC协议单字节传输状态机设计思路-1

fpgaIIC状态机
水管工发布于 2022-10-29 02:45:06

#硬声创作季 #FPGA FPGA-37-02 IIC协议单字节传输状态机设计思路-2

fpgaIIC状态机
水管工发布于 2022-10-29 02:45:29

#硬声创作季 #FPGA FPGA-37-02 IIC协议单字节传输状态机设计思路-3

fpgaIIC状态机
水管工发布于 2022-10-29 02:45:50

#硬声创作季 #FPGA [2.4.1]--2.4状态机设计实例——课程视频

fpga状态机实例
学习电子知识发布于 2022-11-01 16:51:18

如何使用STATECAD进行多状态机设计实例分析

有限状态机设计的关键是如何把一个实际的时序逻辑关系抽象成一个时序逻辑函数,传统的电路图输入法通过直接设计寄存器组来实现各个状态之间的转换, 而用硬件描述语言来描述有限
2011-11-11 09:49:281886

高速状态下使用CPLD实现状态机的办法

本文给出了采用这些技术的高速环境状态机设计的规范及分析方法和优化方法,并给出了相应的示例。
2011-12-16 10:09:431296

基于VHDL的MTM总线主模块有限状态机设

为了能够更简洁严谨地描述MTM总线的主模块有限状态机状态转换,同时减少FPGA芯片功耗,提高系统稳定性,文中在分析MTM总线结构和主模块有限状态机模型的基础上,基于VHDL语言采
2012-05-29 15:39:0920

基于Moore状态机的智能手推车多模式控制应用

本设计采用赛灵思Spartan-3E系列FPGA芯片,在基于FPGA器件的设计中,状态机是目前应用最为普遍的设计方法之一。
2012-06-06 15:59:3831

状态机代码生成工具

状态机代码生成工具状态机代码生成工具状态机代码生成工具状态机代码生成工具
2015-11-19 15:12:169

状态机原理及用法

状态机原理及用法状态机原理及用法状态机原理及用法
2016-03-15 15:25:490

嵌入式软件中状态机的抽象与实现

文中提出了 在嵌入式软件中把状态机作为一个独立模块从控制模块中抽象出来的思想 , 描述了 抽象出来的状态机模块 。 并介绍了 如何将这种状态机抽象模块应用到实际项目中 。
2016-03-22 15:47:101

有限状态机的建模与优化设计

本文提出一种优秀 、高效的 Verilog HDL 描述方式来进行有限状态机设计 介绍了 有限状态机的建模原则 并通过一个可综合的实例 验证了 该方法设计的有限状态机在面积和功耗上的优势。
2016-03-22 15:19:411

VHDL有限状态机设计-ST

EDA的有限状态机,广义而言是指只要涉及触发器的电路,无论电路大小都可以归结为状态机。有限状态机设计在学习EDA时是很重要的一章。
2016-06-08 16:46:103

华清远见FPGA代码-状态机

FPGA学习资料教程——华清远见FPGA代码-状态机
2016-10-27 18:07:549

利用状态机状态机实现层次结构化设计

练习九.利用状态机的嵌套实现层次结构化设计目的:1.运用主状态机与子状态机产生层次化的逻辑设计;
2017-02-11 05:52:503126

基于FPGA状态机设计实现EtherCAT从站基本通信链路并验证

状态机,并通过解析各阶段数据状态变化,验证了各节点通信数据的正确性。实验结果表明,基于上述状态机FPGA实现EtherCAT从站基本通信链路是完全可行的。
2017-11-15 12:04:0117163

FPGA学习系列:9.简单状态机设

设计背景: 状态机是描述各种复杂时序的时序行为,是使用 HDL进行数学逻辑设计中非常重要的方法之一,状态机分为摩尔机和米粒机,当输出只和状态有关系的话称为摩尔机,当输出不仅和状态有关系也和输入信号
2018-06-01 16:59:436979

简述使用QII状态机向导如何创建一个状态机

如何使用QII状态机向导创建一个状态机
2018-06-20 00:11:003940

状态机概述 如何理解状态机

本篇文章包括状态机的基本概述以及通过简单的实例理解状态机
2019-01-02 18:03:319928

正点原子开拓者FPGA视频:状态机

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作,完成特定操作的控制中心。状态机分为摩尔(Moore)型状态机和米莉(Mealy)型状态机
2019-09-19 07:00:002178

FPGA状态机的基本概述与设计

状态机可以用两种方法实现:竖着写(在状态中判断事件)和横着写( 在事件中判断状态)。这两种实现在本质上是完全等效的,但在实际操作中,效果却截然 不同。
2019-10-09 07:09:002304

FPGA状态机练习:设计思路(3)

状态机可以用两种方法实现:竖着写(在状态中判断事件)和横着写( 在事件中判断状态)。这两种实现在本质上是完全等效的,但在实际操作中,效果却截然 不同。
2019-10-09 07:08:001603

FPGA状态机的功能简述与学习建议

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作,完成特定操作的控制中心。状态机分为摩尔(Moore)型状态机和米莉(Mealy)型状态机
2019-10-09 07:07:003198

FPGA状态机练习:设计思路(2)

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。
2019-10-09 07:06:002234

FPGA状态机的功能简述

关于状态机的一个极度确切的描述是它是一个有向图形,由一组节点和一组相应的转移函数组成。状态机通过响应一系列事件而“运行”。每个事件都在属于“当前” 节点的转移函数的控制范围内,其中函数的范围是节点
2019-10-09 07:05:003387

基于FPGA实现状态机的设计

状态机有三种描述方式:一段式状态机、两段式状态机、三段式状态机。下面就用一个小例子来看看三种方式是如何实现的。
2019-08-29 06:09:002514

数字设计FPGA应用:时钟同步状态机设计方法构建序列发生器

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作,完成特定操作的控制中心。状态机分为摩尔(Moore)型状态机和米莉(Mealy)型状态机
2019-12-04 07:04:002900

FPGA状态机设计原则

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。
2019-10-09 07:02:002137

FPGA状态机练习:设计思路(5)

状态机可归纳为4个要素,即现态、条件、动作、次态。这样的归纳,主要是出于对状态机的内在因果关系的考虑。“现态”和“条件”是因,“动作”和“次态”是果。
2019-10-09 07:04:001879

FPGA状态机练习:设计思路(4)

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作,完成特定操作的控制中心。状态机分为摩尔(Moore)型状态机和米莉(Mealy)型状态机
2019-05-28 07:03:492648

什么是状态机 状态机的描述三种方法

状态机 1、状态机是许多数字系统的核心部件,是一类重要的时序逻辑电路。通常包括三个部分:一是下一个状态的逻辑电路,二是存储状态机当前状态的时序逻辑电路,三是输出组合逻辑电路。 2、根据状态机的输出
2020-11-16 17:39:0024811

FPGA状态机简述

FPGA设计中一种非常重要、非常根基的设计思想,堪称FPGA的灵魂,贯穿FPGA设计的始终。 02. 状态机简介 什么是状态机状态机通过不同的状态迁移来完成特定的逻辑操作(时序操作)状态机是许多数字系统的核心部件, 是一类重要的时序逻辑电路。通常包括三个部分: 下一个
2020-11-05 17:58:476145

如何使用Moore状态机设计一序列检测计实验的工程文件免费下载

本文档的主要内容详细介绍的是如何使用Moore状态机设计一序列检测计实验的工程文件免费下载
2020-12-04 16:46:239

有限状态机设计是HDL Designer Series的关键应用

有限状态机的设计是HDL Designer Series™工具的关键应用。 尽可能地对于设计人员编写导致状态机性能不佳的VHDL,可以使用HDL Designer用于生成VHDL的Series™工具
2021-04-08 10:05:233

什么是状态机状态机5要素

玩单片机还可以,各个外设也都会驱动,但是如果让你完整的写一套代码时,却无逻辑与框架可言。这说明编程还处于比较低的水平,你需要学会一种好的编程框架或者一种编程思想!比如模块化编程、状态机编程、分层思想
2021-07-27 11:23:2219223

经典双进程状态机FPGA实现(含testbeach)

经典双进程状态机FPGA实现(含testbeach)(肇庆理士电源技术有限公司图片)-该文档为经典双进程状态机FPGA实现(含testbeach)总结文档,是一份很不错的参考资料,具有较高参考价值,感兴趣的可以下载看看………………    
2021-08-31 13:26:523

状态机设计A_D转换器ADC0809的采样控制电路实验

状态机设计A_D转换器ADC0809的采样控制电路实验(通信电源技术期刊版面费)-用状态机设计A_D转换器ADC0809的采样控制电路.适合新手学习参考
2021-09-16 12:05:0528

状态模式(状态机)

以前写状态机,比较常用的方式是用 if-else 或 switch-case,高级的一点是函数指针列表。最近,看了一文章《c语言设计模式–状态模式(状态机)》(来源:embed linux
2021-12-16 16:53:047

(41)FPGA状态机一段式

(41)FPGA状态机一段式1.1 目录1)目录2)FPGA简介3)Verilog HDL简介4)FPGA状态机一段式5)结语1.2 FPGA简介FPGA(Field Programmable
2021-12-29 19:41:590

C语言状态机编程思想

关注、星标公众号,直达精彩内容文章来源:头条-嵌入式在左C语言在右链接:https://www.toutiao.com/i6843028812112855564/有限状态机概念有限状态机...
2022-01-13 13:32:2314

如何以面向对象的思想设计有限状态机

有限状态机又称有限状态自动机,简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学计算模型,用英文缩写也被简...
2022-02-07 11:23:284

单片机编程技巧—状态机编程

学会一种好的编程框架或者一种编程思想,可能会受用终生!比如模块化编程,框架式编程,状态机编程等等,都是一种好的框架。
2022-02-08 16:08:5111

摩尔型状态机与米利型状态机的区别是什么

FSM有限状态机,序列产生,序列检测,是FPGA和数字IC相关岗位必须要掌握的知识点,在笔试和面试中都非常常见。
2022-03-14 17:42:0912857

labview状态机分享

labview状态机
2022-10-31 15:50:2610

嵌入式中状态机的设置

状态机在嵌入式软件中随处可见,可能你会说状态机有什么难的,不就是 switch 吗?
2022-11-02 09:04:13811

如何合理高效地使用状态机呢?

今天还是更新状态机状态机基本是整个HDL中的核心,合理、高效地使用状态机,是数字电路中的重要技能。
2023-02-12 10:21:05542

TCP状态机设计与实现

TCP状态机是TCP连接的变化过程。TCP在三次握手和四次挥手的过程,就是一个TCP的状态说明,由于TCP是一个面向连接的,可靠的传输,每一次的传输都会经历连接,传输,关闭的过程,无论是哪个方向的传输,必须建立连接才行,在双方通信的过程中,TCP的状态是不一样的
2023-04-21 11:47:571005

详细介绍FPGA状态机的设计和应用

FPGA的特点是并行执行,但如果需要处理一些具有前后顺序的事件,就需要使用状态机
2023-05-22 14:24:12559

Verilog状态机的类型

有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。
2023-06-01 15:23:391260

如何在FPGA中实现状态机

状态机往往是FPGA 开发的主力。选择合适的架构和实现方法将确保您获得一款最佳解决方案。 FPGA 常常用于执行基于序列和控制的行动, 比如实现一个简单的通信协议。对于设计人员来说,满足这些行动
2023-07-18 16:05:01499

基于FPGA状态机设

状态机的基础知识依然强烈推荐mooc上华科的数字电路与逻辑设计,yyds!但是数电基础一定要和实际应用结合起来,理论才能发挥真正的价值。我们知道FPGA是并行执行的,如果我们想要处理具有前后顺序的事件就需要引入状态机
2023-07-28 10:02:04456

三段式,四段式状态机设计方法是什么(状态机设计注意事项)

有限状态机,简称状态机,通俗的说,就是把全部的情况分成几个场景,这些场景的工作方式明显不同。简单来说就是如下所示的状态转移图
2023-08-31 15:30:49585

自动生成程序状态机代码状态机建模方法

首先运行fsme命令来启动状态机编辑器,然后单击工具栏上的“New”按钮来创建一个新的状态机。FSME中用于构建状态机的基本元素一共有五种:事件(Event)、输入(Input)、输出(Output
2023-09-13 16:50:03682

如何生成状态机框架

生成状态机框架 使用FSME不仅能够进行可视化的状态机建模,更重要的是它还可以根据得到的模型自动生成用C++或者Python实现的状态机框架。首先在FSME界面左边的树形列表中选择"Root
2023-09-13 16:54:15618

如何使用FSME来定制状态机

定制状态机 目前得到的状态机已经能够响应来自外部的各种事件,并适当地调整自己当前所处的状态,也就是说已经实现了状态机引擎的功能,接下来要做的就是根据应用的具体需求来进行定制,为状态机加入与软件系统
2023-09-13 16:57:37821

有限状态机分割设计

有限状态机分割设计,其实质就是一个状态机分割成多个状态机
2023-10-09 10:47:06330

什么是状态机状态机的种类与实现

状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化的模型。在芯片设计中,状态机被广泛应用于各种场景,如CPU指令集、内存控制器、总线控制器等。
2023-10-19 10:27:553405

已全部加载完成