电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>EDA/IC设计>如何使用STATECAD进行多状态机设计实例分析

如何使用STATECAD进行多状态机设计实例分析

12下一页全文

本文导航

  • 第 1 页:如何使用STATECAD进行多状态机设计实例分析
  • 第 2 页:产生的代码
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

STM32状态机编程实例——全自动洗衣机(下)

本篇在上篇全自动洗衣机的状态机编程实例的基础上,增加了OLED来更新直观的展示洗衣机的工作状态,并通过3种测试场景来展示洗衣机工作状态机的执行。
2022-09-07 08:47:122637

状态机编程实例-状态表法

上篇文章,使用嵌套switch-case法的状态机编程,实现了一个炸弹拆除小游戏。本篇,继续介绍状态机编程的第二种方法:状态表法,来实现炸弹拆除小游戏的状态机编程。
2023-06-20 09:05:051190

FPGA工程师:如何在FPGA中实现状态机

安全高效的状态机设计对于任何使用FPGA的工程师而言都是一项重要技能。选择Moore状态机、Mealy状态机还是混合机取决于整个系统的需求。无论选择哪种类型的状态机,充分掌握实现方案所需的工具和技巧,将确保您实现最佳解决方案。本文主要介绍如何在FPGA中实现状态机
2013-03-29 15:02:5712361

采用米利型的状态机电路设计

首先可以确定采用米利型状态机设计该电路。因为该电路在连续收到信号0101时,输出为1,其他情况下输出为0,所以采用米利型状态机
2020-09-08 14:06:597422

STM32按键消抖——入门状态机思维

本篇介绍了嵌入式软件开发中常用的状态机编程实现,并通过按键消抖实例,以常用的switch-case形式,实现了对应的状态机编程代码实现,并通过测试,串口打印对应状态分析状态机状态跳转过程。
2022-09-02 21:54:124222

状态机编程实例-嵌套switch-case法

嵌入式软件开发中,状态机编程是一个比较实用的代码实现方式,特别适用于事件驱动的系统。本篇,以一个炸弹拆除的小游戏为例,介绍状态机编程的思路。
2023-06-15 09:01:121116

状态机编程实例-面向对象的状态设计模式

本编介绍了状态机编程的第3种方法——面向对象的状态设计模式,通过C++的继承特性,以及类指针,实现炸弹拆除小游戏中的状态机功能。
2023-06-28 09:04:41840

SaberRD状态机建模工具介绍(一)什么是状态机建模

状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。
2023-12-05 09:51:02430

Spring状态机的实现原理和使用方法

说起 Spring 状态机,大家很容易联想到这个状态机和设计模式中状态模式的区别是啥呢?没错,Spring 状态机就是状态模式的一种实现,在介绍 Spring 状态机之前,让我们来看看设计模式中的状态模式。
2023-12-26 09:39:02664

Verilog状态机+设计实例

在verilog中状态机的一种很常用的逻辑结构,学习和理解状态机的运行规律能够帮助我们更好地书写代码,同时作为一种思想方法,在别的代码设计中也会有所帮助。 一、简介 在使用过程中我们常说
2024-02-12 19:07:391818

状态机

状态机与移位寄存器的简单实例
2013-06-21 17:37:08

状态机

控制状态机控制状态机的初始化和状态转换的最佳方法是使用枚丽型输入控件。一般使用自定义类型的枚丽变量。使用子定义类型的枚丽变量可以是控件和实例乊间存在关联,使得添加或删除状态时所有的枚丽型输入控件副本自动更新。
2014-02-13 12:39:31

状态机编程

直观看到。应用在实时系统中时,便于对复杂系统进行分析。下面将给出两个按键与显示相结合的应用设计实例,结合设计的例子,讨论如何使用有限状态机进行系统的分析和设计,以及如何在软件中进行描述和实现。二
2008-07-10 18:00:24

状态机设计指导

状态机设计指导
2012-08-20 23:45:55

状态机设计的例子

本帖最后由 eehome 于 2013-1-5 09:56 编辑 状态机设计的例子
2012-08-19 23:01:07

状态机设计问题

状态机设计中always @(*) beginnext = 2'bx;case (state)idle: next=s1;s1: next=s2;s2: next=idle;end以上代码先给
2021-10-06 18:49:10

FPGA Verilog HDL 设计实例系列连载--------有限状态机设

关系,因而在状态图中每条转移边需要包含输入和输出的信息。状态编码  数字逻辑系统状态机设计中常见的编码方式有:二进制码(Binary码)、格雷码(Gray码)、独热码(One-hot码)以及二一十进制码(BCD
2012-03-09 10:04:18

FPGA---如何写好状态机,详细下载pdf

今天给大侠带来如何写好状态机状态机是逻辑设计的重要内容,状态机的设计水平直接反应工程师的逻辑功底,所以很多公司在硬件工程师及逻辑工程师面试中,状态机设计几乎是必选题目。本篇在引入状态机设计思想
2020-09-28 10:29:23

Labview状态机

本帖最后由 afnuaa 于 2017-5-24 11:22 编辑 状态机是一种普遍而有效的架构,我们可以利用状态机设计模式来实现状态图或流程图的算法。State Machines
2017-05-23 17:11:34

verilog有限状态机设

状态机进入一个状态后,是把里面里面的代码执行一遍,还是一直执行,一直到状态发生改变
2014-04-03 18:38:21

【FPGA开源教程连载】第七章 状态机设实例

状态机设实例实验目的:1.学习状态机的相关概念2.理解一段式、两段式以及三段式状态机的区别以及优缺点实验平台:无实验原理:状态机全称是有限状态机(finite-state machine,缩写
2016-12-26 00:17:38

一个简单的状态机设

笔试时也很常见。[例1] 一个简单的状态机设计--序列检测器序列检测器是时序数字电路设计中经典的教学范例,下面我们将用Verilog HDL语言来描述、仿真、并实现它。序列检测器的逻辑功能...
2022-02-16 07:29:49

什么是状态机

目录1 前言2 状态机2.1 什么是状态机2.2 状态机的概念2.3 使用状态机写键盘的思路3 代码实例3.1 使用软件3.2 protues电路图3.2 状态机部分程序3.3 Keil工程文件
2022-01-24 06:23:02

什么是状态机状态机是如何编程的?

什么是状态机状态机是如何编程的?
2021-10-20 07:43:43

使用状态机设计数字电源

。 可能会出现一些错误,这些错误需要在验证过程中利用测试矢量找到。 对于在图形用户界面中进行的所有小更改,都需要重复此验证过程。图1. 数字电源图形用户界面 还有一种更方便的方式是选择基于状态机的数字电源
2018-10-09 10:36:37

使用状态机设计数字电源

。可能会出现一些错误,这些错误需要在验证过程中利用测试矢量找到。对于在图形用户界面中进行的所有小更改,都需要重复此验证过程。图1. 数字电源图形用户界面图2. 基于状态机的ADP1055框图还有一种更
2018-10-18 11:25:17

使用状态机设计数字电源

。可能会出现一些错误,这些错误需要在验证过程中利用测试矢量找到。对于在图形用户界面中进行的所有小更改,都需要重复此验证过程。图1. 数字电源图形用户界面还有一种更方便的方式是选择基于状态机的数字电源控制器
2018-10-16 12:56:53

如何写好状态机

的硬件和逻辑工程师面试中,状态机设计几乎是必选题目。本章在引入状态机设计思 想的基础上,重点讨论如何写好状态机。 本文主要内容如下: 状态机的基本概念; 如何写好状态机; 使用 Synplify Pro 分析 FSM。[hide] [/hide]
2011-10-24 11:43:11

如何通过减少寄存器间的逻辑延时来提高状态机的工作频率?

本文给出了采用这些技术的高速环境状态机设计的规范及分析方法和优化方法,并给出了相应的示例。
2021-04-30 06:12:56

怎么运用状态机提高嵌入式软件效率?

如何建立有限状态机的模型?如何利用状态机进行软件设计?如何使用状态机的效能分析
2021-04-28 06:21:24

明德扬视频分享--点拨FPGA课程---第十四章 状态机设

1.状态机设计原则2.状态机练习13.状态机练习1答案4.波形对比方法5.状态机练习26.状态机练习2答案7.状态机练习38.状态机练习3答案9.状态机练习410.状态机练习4答案11.状态机练习
2015-10-31 13:52:12

这种状态机设计设计思路的出发点是什么?

`书上说这是设计复杂状态机的方法,但是我分析一下,这种状态机设计的方法和一般用always和case设计的状态机的结果是不一样的,那么这种状态机有没有实际应用的价值,毕竟他和正常的状态机不一样,另外
2015-01-17 17:42:40

高效安全的状态机设

本帖最后由 eehome 于 2013-1-5 09:56 编辑 高效安全的状态机设
2012-08-13 17:53:44

状态机实例(VHDL源代码)

状态机实例(VHDL源代码):
2009-05-27 10:27:5859

如何写好状态机

如何写好状态机:状态机是逻辑设计的重要内容,状态机的设计水平直接反应工程师的逻辑功底,所以许多公司的硬件和逻辑工程师面试中,状态机设计几乎是必选题目。本章在引入
2009-06-14 19:24:4996

状态机设

状态机设计:8.1.1 数据类型定义语句TYPE语句的用法如下:TYPE 数据类型名IS 数据类型定义OF 基本数据类型;或TYPE 数据类型名IS 数据类型定义;TYPE st1 IS ARRAY ( 0 TO 15 ) OF STD_L
2009-08-09 23:07:0336

基于有限状态机的工控系统软件设计

通过分析工控系统的特性,提出采用状态机的思想进行工控软件设计。详细论述了高速状态机的错步问题以及控制层中状态机状态划分问题。结合具体的应用实例,给出了基于状
2009-08-10 14:26:0830

状态机思路在单片机程序设计中的应用

状态机思路在单片机程序设计中的应用 状态机的概念       状态机是软件编程中的一个重要概念。比这个概念更重要的是对
2009-03-18 15:00:021120

状态机举例

状态机举例 你可以指定状态寄存器和状态机状态。以下是一个有四种状态的普通状态机。 // These are the symbolic names for states// 定义状态的符号名称parameter  [1
2009-03-28 15:18:28893

高速环境下FPGA或CPLD中的状态机设

    本文给出了采用这些技术的高速环境状态机设计的规范及分析方法和优化方法,并给出了相应的示例。       为了使FPGA或CPLD中的状态机设
2009-04-15 11:27:04600

#硬声创作季 #FPGA [2.4.1]--2.4状态机设实例——课程视频

fpga状态机实例
学习电子知识发布于 2022-11-01 16:51:18

高速状态下使用CPLD实现状态机的办法

本文给出了采用这些技术的高速环境状态机设计的规范及分析方法和优化方法,并给出了相应的示例。
2011-12-16 10:09:431296

基于VHDL的MTM总线主模块有限状态机设

为了能够更简洁严谨地描述MTM总线的主模块有限状态机状态转换,同时减少FPGA芯片功耗,提高系统稳定性,文中在分析MTM总线结构和主模块有限状态机模型的基础上,基于VHDL语言采
2012-05-29 15:39:0920

状态机代码生成工具

状态机代码生成工具状态机代码生成工具状态机代码生成工具状态机代码生成工具
2015-11-19 15:12:169

状态机原理及用法

状态机原理及用法状态机原理及用法状态机原理及用法
2016-03-15 15:25:490

CAN控制器状态机分析与实现

。其只有外部硬件复位采用异步方式,其余信号均用全局时钟进行同步。把状态机逻辑和算术逻辑及数据通道分开,把状态机纯粹当作控制逻辑电路来使用,从而改善其性能。
2016-03-22 16:03:0312

基于有限状态机的工控系统软件设计

本文详 细论述了高速状态机的错步问题以及控制层中状态机状态划分问题,结合具体的应用实例,给出了基于状态机的实现方法。
2016-03-22 15:48:303

有限状态机FSM在PLD中的实现分析

本文通过举例 利用VHDL 语言描述了不同模式的有限状态机 分析了有限状态机在 PLD 中综合的特点 。
2016-03-22 15:41:363

有限状态机的建模与优化设计

本文提出一种优秀 、高效的 Verilog HDL 描述方式来进行有限状态机设计 介绍了 有限状态机的建模原则 并通过一个可综合的实例 验证了 该方法设计的有限状态机在面积和功耗上的优势。
2016-03-22 15:19:411

VHDL有限状态机设计-ST

EDA的有限状态机,广义而言是指只要涉及触发器的电路,无论电路大小都可以归结为状态机。有限状态机设计在学习EDA时是很重要的一章。
2016-06-08 16:46:103

利用状态机状态机实现层次结构化设计

练习九.利用状态机的嵌套实现层次结构化设计目的:1.运用主状态机与子状态机产生层次化的逻辑设计;
2017-02-11 05:52:503126

状态机原理进行软件设计

个组成部分。 不过,状态机理论的发展却很缓慢。在众多原因中,状态机只是做为编程的实现工具而不是设计工具是一个最重要的原因。 本文的重点就在于,怎样利用状态机原理进行程序设计。本文会先给出普通的、一个平面上的FSM(有限状态机)的概念和实例,并指出
2017-12-02 15:03:07413

FPGA学习系列:9.简单状态机设

设计背景: 状态机是描述各种复杂时序的时序行为,是使用 HDL进行数学逻辑设计中非常重要的方法之一,状态机分为摩尔机和米粒机,当输出只和状态有关系的话称为摩尔机,当输出不仅和状态有关系也和输入信号
2018-06-01 16:59:436979

如何对状态机的程序进行灵活修改

状态机最主要的特点就是灵活性,这个优势在程序后期的维护上更会显示出来,本集中工程师将展现出状态机是如何轻松对程序进行灵活修改,从而满足日益复杂的开发要求。
2018-06-14 03:19:003072

简述使用QII状态机向导如何创建一个状态机

如何使用QII状态机向导创建一个状态机
2018-06-20 00:11:003940

状态机和组合逻辑的冒险竞争浅析

有限状态机(Finite State Machine, FSM),根据状态机的输出是否与输入有关,可分为Moore型状态机和Mealy型状态机。Moore型状态机输出仅仅与现态有关和Mealy
2018-06-25 08:42:003638

状态机概述 如何理解状态机

本篇文章包括状态机的基本概述以及通过简单的实例理解状态机
2019-01-02 18:03:319928

FPGA之状态机的功能简述与学习建议

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作,完成特定操作的控制中心。状态机分为摩尔(Moore)型状态机和米莉(Mealy)型状态机
2019-10-09 07:07:003198

基于FPGA实现状态机的设计

状态机有三种描述方式:一段式状态机、两段式状态机、三段式状态机。下面就用一个小例子来看看三种方式是如何实现的。
2019-08-29 06:09:002514

数字设计FPGA应用:时钟同步状态机设计方法构建序列发生器

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作,完成特定操作的控制中心。状态机分为摩尔(Moore)型状态机和米莉(Mealy)型状态机
2019-12-04 07:04:002900

FPGA之状态机设计原则

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作、完成特定操作的控制中心。
2019-10-09 07:02:002136

FPGA之状态机练习:设计思路(5)

状态机可归纳为4个要素,即现态、条件、动作、次态。这样的归纳,主要是出于对状态机的内在因果关系的考虑。“现态”和“条件”是因,“动作”和“次态”是果。
2019-10-09 07:04:001879

FPGA之状态机练习:设计思路(4)

状态机状态寄存器和组合逻辑电路构成,能够根据控制信号按照预先设定的状态进行状态转移,是协调相关信号动作,完成特定操作的控制中心。状态机分为摩尔(Moore)型状态机和米莉(Mealy)型状态机
2019-05-28 07:03:492648

状态机常见的3种类型 状态机案例设计

摩尔型的有限状态机的输出只与当前状态有关,而与输入信号的当前值无关,且仅丰时钟信号边沿到来时才发生变化。
2020-08-08 10:57:007841

什么是状态机 状态机的描述三种方法

状态机 1、状态机是许多数字系统的核心部件,是一类重要的时序逻辑电路。通常包括三个部分:一是下一个状态的逻辑电路,二是存储状态机当前状态的时序逻辑电路,三是输出组合逻辑电路。 2、根据状态机的输出
2020-11-16 17:39:0024810

浅谈状态机的要素、分类

说到单片机编程,不得不说到状态机状态机做为软件编程的主要架构已经在各种语言中应用,当然包括C语言,在一个思路清晰而且高效的程序中,必然有状态机的身影浮现。灵活的应用状态机不仅是程序更高效,而且
2020-10-20 17:27:474306

FPGA:状态机简述

本文目录 前言 状态机简介 状态机分类 Mealy 型状态机 Moore 型状态机 状态机描述 一段式状态机 二段式状态机 三段式状态机 状态机优缺点 总结 扩展-四段式状态机 01. 前言 状态机
2020-11-05 17:58:476145

如何使用Moore状态机设计一序列检测计实验的工程文件免费下载

本文档的主要内容详细介绍的是如何使用Moore状态机设计一序列检测计实验的工程文件免费下载
2020-12-04 16:46:239

入门课程之简单状态机设计流程

状态机是描述各种复杂时序的时序行为,是使用HDL进行数学逻辑设计中非常重要的方法之一。
2021-03-14 10:01:032927

使用Synplify设计安全的VHDL状态机

Synplify的优势之一是有限状态机编译器。 这是一个强大的功能,不仅具有自动检测状态机中的状态的能力源代码,并使用顺序编码,灰色编码或一键编码实现它们。但也要进行可达性分析,以确定所有可能的状态达到并优化掉所有无法达到的状态和转换逻辑。因此,产生状态机的高度优化的最终实现。
2021-04-07 09:20:5112

有限状态机设计是HDL Designer Series的关键应用

有限状态机的设计是HDL Designer Series™工具的关键应用。 尽可能地对于设计人员编写导致状态机性能不佳的VHDL,可以使用HDL Designer用于生成VHDL的Series™工具
2021-04-08 10:05:233

什么是状态机状态机5要素

玩单片机还可以,各个外设也都会驱动,但是如果让你完整的写一套代码时,却无逻辑与框架可言。这说明编程还处于比较低的水平,你需要学会一种好的编程框架或者一种编程思想!比如模块化编程、状态机编程、分层思想
2021-07-27 11:23:2219222

状态机设计A_D转换器ADC0809的采样控制电路实验

状态机设计A_D转换器ADC0809的采样控制电路实验(通信电源技术期刊版面费)-用状态机设计A_D转换器ADC0809的采样控制电路.适合新手学习参考
2021-09-16 12:05:0528

基于事件驱动的有限状态机介绍

!EFSM的使用者只需要关心: 当事件到来时,通过EFSM取得对应事件的处理方法 ; 当特定事件到来,或者条件满足时,调用状态切换方法进行状态切换 。 由于EFSM的巧妙设计,避免了命名冲突的问题,你可以在一个程序中定义多个状态机;要是能对不同状态进行组织,还可以做出层次状态机的结
2021-11-16 15:29:102036

状态模式(状态机)

以前写状态机,比较常用的方式是用 if-else 或 switch-case,高级的一点是函数指针列表。最近,看了一文章《c语言设计模式–状态模式(状态机)》(来源:embed linux
2021-12-16 16:53:047

STM32状态机编程实例——全自动洗衣机(上)

本篇实现了一款全自动洗衣机的基础洗衣控制流程,可实现不同水位与清洗次数的设置,以及任务的暂停与继续。此外,通过对之前按键状态机的进一步优化修改,实现了按键状态机的复用,实现多个按键的检测。下篇文章将进一步进行功能优化,添加OLED小屏幕实现不同状态的可视化展示。
2022-09-06 08:47:081657

labview状态机分享

labview状态机
2022-10-31 15:50:2610

嵌入式中状态机的设置

状态机在嵌入式软件中随处可见,可能你会说状态机有什么难的,不就是 switch 吗?
2022-11-02 09:04:13811

如何合理高效地使用状态机呢?

今天还是更新状态机状态机基本是整个HDL中的核心,合理、高效地使用状态机,是数字电路中的重要技能。
2023-02-12 10:21:05542

按键状态机代码

自己写的按键状态机,需要的时候根据情况修改一下
2023-03-27 10:42:416

TCP状态机设计与实现

TCP状态机是TCP连接的变化过程。TCP在三次握手和四次挥手的过程,就是一个TCP的状态说明,由于TCP是一个面向连接的,可靠的传输,每一次的传输都会经历连接,传输,关闭的过程,无论是哪个方向的传输,必须建立连接才行,在双方通信的过程中,TCP的状态是不一样的
2023-04-21 11:47:571005

Verilog状态机的类型

有限状态机(Finite-State Machine,FSM),简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。
2023-06-01 15:23:391260

状态机要实现哪些内容

。 近日在看到了一个状态机的实现,也学着写了一个,与大家分享。 首先,分析一下一个普通的状态机究竟要实现哪些内容。 状态机存储从开始时刻到现在的变化,并根据当前输入,决定下一个状态。这意味着,状态机要存储状态、获
2023-06-22 14:26:00411

三段式状态机编写问题及三段式状态机各部分功能分析

在 Verilog的江湖里,流传着一段,两段,三段式状态机的传说。它们各有优劣,本文就书写三段式状态机的错误原因进行探寻。
2023-06-20 10:35:541812

如何在FPGA中实现状态机

和序列要求的最佳方法则是使用状态机状态机是在数量有限的状态之间进行转换的逻辑结构。一个状态机在某个特定的时间点只处于一种状态。但在一系列触发器的触发下,将在不同状态进行转换。理论上讲,状态机可以分为Moore 状态机和Mealy 状态机
2023-07-18 16:05:01499

如何设计ADAS系统功能状态机(一)

状态机模块的主要作用是跟踪系统的当前状态,并根据特定的事件和条件进行状态转换。
2023-07-21 14:44:58486

基于FPGA的状态机设

状态机的基础知识依然强烈推荐mooc上华科的数字电路与逻辑设计,yyds!但是数电基础一定要和实际应用结合起来,理论才能发挥真正的价值。我们知道FPGA是并行执行的,如果我们想要处理具有前后顺序的事件就需要引入状态机
2023-07-28 10:02:04456

三段式,四段式状态机设计方法是什么(状态机设计注意事项)

有限状态机,简称状态机,通俗的说,就是把全部的情况分成几个场景,这些场景的工作方式明显不同。简单来说就是如下所示的状态转移图
2023-08-31 15:30:49585

自动生成程序状态机代码状态机建模方法

首先运行fsme命令来启动状态机编辑器,然后单击工具栏上的“New”按钮来创建一个新的状态机。FSME中用于构建状态机的基本元素一共有五种:事件(Event)、输入(Input)、输出(Output
2023-09-13 16:50:03682

如何生成状态机框架

生成状态机框架 使用FSME不仅能够进行可视化的状态机建模,更重要的是它还可以根据得到的模型自动生成用C++或者Python实现的状态机框架。首先在FSME界面左边的树形列表中选择"Root
2023-09-13 16:54:15618

如何使用FSME来定制状态机

定制状态机 目前得到的状态机已经能够响应来自外部的各种事件,并适当地调整自己当前所处的状态,也就是说已经实现了状态机引擎的功能,接下来要做的就是根据应用的具体需求来进行定制,为状态机加入与软件系统
2023-09-13 16:57:37821

有限状态机分割设计

有限状态机分割设计,其实质就是一个状态机分割成多个状态机
2023-10-09 10:47:06330

什么是状态机状态机的种类与实现

状态机,又称有限状态机(Finite State Machine,FSM)或米利状态机(Mealy Machine),是一种描述系统状态变化的模型。在芯片设计中,状态机被广泛应用于各种场景,如CPU指令集、内存控制器、总线控制器等。
2023-10-19 10:27:553404

状态机怎么上来就错了?怎么解决?

状态机本身很简单,default也写了,然后进行仿真时看到了这样的波形:
2023-12-04 10:43:11165

已全部加载完成