0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

2023国产汽车芯片关键词:过车规、落地、降价

Hobby观察 来源:电子发烧友网 作者:梁浩斌 2024-02-08 08:40 次阅读

电子发烧友网报道(文/梁浩斌)自19年开始,由于消费电子市场逐渐有衰退迹象,不少消费、工业领域的芯片公司,为了寻找第二增长点,都开始将目光投向汽车市场,纷纷布局车规芯片。

到了2020年,新冠疫情导致全球芯片产能受到严重影响,尤其是汽车芯片遭遇全球性短缺,汽车芯片价格高涨,以及新能源汽车的趋势下对车用芯片的需求前景,让更多的芯片企业更加坚定投入到汽车市场中。

实际上,在过去几年时间里,汽车芯片确实是全球半导体行业的一个重要增长点。例如恩智浦2022财年相比2020年营收增长超过50%,净利润甚至是2020年的53倍(当然2020年净利润基数比较小,为5200万美元),并且汽车业务的营收占比也从2020年的44%增长至2022年的52%。汽车芯片巨头英飞凌2023财年营收超过160亿欧元,相比2020财年增长超过90%,净利润则从2020财年的3.68亿欧元增长至2023财年的44亿欧元,增长超过10倍。

海外巨头依靠汽车芯片,业绩不断创新高,那么2023年国内芯片厂商在汽车领域有哪些变化?国产汽车芯片现状如何?经历过去一年的观察,大概可以用以下几个关键词来进行概括。

过车规

2023年,国产芯片公司推出了更多的汽车芯片新品,涵盖MCU模拟IC、功率器件、SoC、传感器等各类产品。而对于汽车芯片而言,仅仅将芯片造出来是很难被客户认可应用到汽车上的,特别是国产芯片还在建立口碑的早期阶段。因此,全球范围内适用的认证标准也就成为汽车芯片的准入门槛,也就是所谓的“过车规”。

汽车领域中,针对不同类型的器件有不同的标准,比较普遍的是AEC-Q1XX,比如AEC-Q100/101/102/103/104等,涵盖了芯片、分立器件、光电器件MEMS传感器、多芯片模块等。另外还有功能安全方面的标准,比如ISO 26262。

以关注度较高的MCU和SiC MOSFET来看,去年有国内多家企业推出了车规新品。在汽车MCU方面,拥有符合AEC-Q100标准的产品已经成为主流MCU厂商的标配,而在功能安全上,也有不少汽车MCU产品通过了ISO 26262的最高等级ASIL-D。

比如旗芯微在去年10月发布了新一代ASIL-D车规MCU新品FC7240,拥有240MHz主频,集成了2MB的Flash及256KB SRAM空间,计划在2023Q4向客户提供样片和开发板;云途半导体去年推出了旗下首款支持ASIL-D功能安全等级认证的车规MCU YTM32B1H,可用于域控制器中;杰发科技8月推出了符合ASIL-D功能安全标准的AC7870x高端车规MCU;芯钛科技在12月宣布其车用MCU TTA8获得了ISO26262 ASIL-D功能安全认证证书。

在SiC MOSFET方面,去年国内厂商有从模拟芯片入局到SiC功率器件领域,有初创公司推出首款车规SiC MOSFET产品,也有一些厂商的SiC MOSFET产品成功导入到主驱逆变器应用并量产,推出车规产品的厂商就更多了。

据电子发烧友网不完全统计,去年包括飞锃半导体、芯塔电子、纳芯微、澎芯半导体、中科汉韵、澜芯半导体、昕感科技、瞻芯电子、国星光电、南瑞半导体、杰平方半导体、基本半导体、中汽创智、国联万众等厂商都推出了车规SiC MOSFET新品。

落地

相比于消费级芯片,汽车芯片的车企验证周期、安全认证、高可靠性等指标都有更高的要求,这些指标往往需要芯片设计企业投入大量资源到实验室,去做长周期的验证,才有机会往整车企业供货。但验证的长周期,以及试验投入对于一些中小型的芯片设计厂商来说是一笔不小的投入,而且面临的风险较大,这也是过去国内少有厂商涉足汽车芯片的原因之一。

长期以来,汽车芯片供应链一直由海外巨头比如恩智浦、英飞凌、瑞萨TI、ST等所主导,加上汽车芯片本身的长周期性,以及对于可靠性、安全性的考虑,经过多年验证的海外品牌产品,自然是主机厂更加稳妥的选择。以往产品未有大量在汽车终端上落地的芯片供应商,没有经过实际应用的考验,难以验证其可靠性,也很难吸引Tier1或是主机厂引进本土芯片供应商。

但2020年的缺芯给了国内供应商打进供应链的机会,经过一段时间的验证,2023年国产车规芯片开始大规模被导入到车企并实现前装量产。过去一年发烧友调研了多家国内MCU厂商,不少已经实现了在国内主机厂中导入量产,不过主要以布局车规芯片较早的企业为主。而在2020年附近开始布局汽车芯片的MCU厂商,少数进展较快的在2023年也实现了量产落地,而更多的企业是成功打进Tier 1供应链。

中国电动汽车百人会此前公布的数据显示,汽车芯片国产化率从过去不到5%,上升到2023年的10%。这也证明了过去几年时间里,进入到汽车供应链的国产芯片数量确实是有比较大的增长。

降价

2023年8月开始,汽车市场的价格战正式开始打响,先是合资车企上汽大众大幅下调ID.3价格,月销量瞬间从2000辆不到飙升至近万辆。随后零跑、奇瑞、欧拉、特斯拉、MG名爵、哪吒、极氪等车企纷纷开启降价模式,这不仅是给市面上销售的车型带来降价压力,也为后续推出的新车定价带来了极大的挑战。

于是可以看到在第四季度发布的新车性价比都几乎拉满了,比如2024款小鹏G9起售价26.39万元,相比老款车型低了超过4万元;智己LS6也正式发布,从预售价区间的23万-30万元,降至21.49万元起;12月的极氪007,从22.99万起的预售价降至正式发布时的20.99万元。

对消费者而言,汽车市场降价固然是利好,但是汽车售价的降低,为此买单的必然是车企和供应链企业。车企的利润被压缩,自然就会向上游供应链施加压力,上游供应商,包括芯片企业想要继续合作,就必须降价。

一些芯片厂商也向电子发烧友反馈,虽然去年芯片价格相比过去已经非常低了,但车企依然反馈称太贵,这就导致芯片价格被无下限打压。当然这背后可能还有供过于求的原因,因为这种现象反映出当前汽车芯片市场已经从卖方市场转为买方市场,定价权被掌握在需求方手中。

中国是全球最大的汽车市场,也是全球竞争最激烈的汽车市场,在这样的市场环境下,降价成了车企最为立竿见影的一剂良药。与此同时,能够维持产业链稳定发展的前提是汽车市场持续保持增长,一旦需求增长放缓或下滑,产能过剩的风险可能会对整个产业链造成严重打击。

留给国产汽车芯片厂商的机会不多了

汽车芯片市场在过去三年里毫无疑问是发展前景最好的市场,在新能源汽车浪潮中,芯片需求的高涨,让汽车芯片厂商业绩屡创新高。不过在蒸蒸日上的市场中,2023年底也有一些信号可能反映出危机的来临。

前段时间意法半导体德州仪器都公布了2023年的业绩表现,从业绩本身以及公司对2024年的业绩预测中,能够感受到当前市场需求依然疲软。意法半导体去年整体营收和利润都同比增长,这主要得益于汽车半导体在上半年的需求增长,但到了第四季度,营收和利润双双同比下滑,营业利润甚至同比降幅高达20.5%。

德州仪器则受到模拟芯片价格战和汽车芯片需求放缓影响,2023年营收同比大跌12.5%,净利润更是大跌25%。

对于2024年,意法半导体预计第一季度收入将下降15%左右,同期德州仪器的业绩预期也大幅低于分析师平均预期。汽车芯片产能过剩的迹象越来越多,2024年很有可能重新回到整体过剩,结构性缺货的状况。

因此,2024年对于国产汽车芯片厂商而言,将会是充满挑战的一年。到底市场情况会怎样,还要看汽车市场电动化进展以及整体销量情况。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 汽车芯片
    +关注

    关注

    10

    文章

    763

    浏览量

    43010
  • 车规芯片
    +关注

    关注

    0

    文章

    147

    浏览量

    7171
收藏 人收藏

    评论

    相关推荐

    随着非器件在汽车上泛滥FPGA会不会越来越多的出现在汽车上?

    随着非器件在汽车上泛滥FPGA会不会越来越多的出现在汽车上?
    发表于 04-23 14:50

    从杰发科技AutoChips AC8015看国产汽车芯片突围之路

    原标题:从杰发科技AutoChips AC8015看国产汽车芯片突围之路 来源:Gartner 长期以来,中国汽车芯片一直依赖恩智浦、英飞凌、瑞萨、德州仪器等国际大厂,进口比例高达90
    的头像 发表于 04-08 02:57 225次阅读
    从杰发科技AutoChips AC8015看<b class='flag-5'>国产汽车</b><b class='flag-5'>芯片</b>突围之路

    国产车芯片发展的怎么样了,有用过的来说说吗?

    刚看了一个最能打的国产芯榜单,找到一些国产车芯片,看看参数介绍感觉还不错,大家有用过的或了解的吗?国产车
    发表于 03-22 10:25

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下: modulecreat_PWM ( inputwireclk, //系统时钟为50MHz inputwirerst, inputwirekey_flag1, inputwirekey_flag2, output regPWM ); parameter Frequency_CNT_MAX = 16\'d49_999; //输出PWM为1KHz,1ms=5000*20ns //PWM频率生成计数器模块 reg [15:0] couter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) couter <= 0; else if( couter == Frequency_CNT_MAX ) couter <= 0; else couter <= couter + 1\'b1; //占空比调节模块 reg [15:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= 16\'d24_999; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + 16\'d49; else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - 16\'d49; else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= Frequency_CNT_MAX ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 由于是第一次在电子发烧友上发文章,体验感觉真的不太友好,希望能够把文章的自动保存功能给加上,否则没有备份真的让人不开心
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    思考与探索|国产汽车电子芯片如何摆脱当前“内卷”困局

    11 月 30 日,国芯科技总经理肖佐楠受邀参加 2023 汽车芯片产业大会,针对国产汽车电子芯片行业面临的困境,分享了国芯科技作为这个领域
    的头像 发表于 12-01 16:45 244次阅读
    思考与探索|<b class='flag-5'>国产汽车</b>电子<b class='flag-5'>芯片</b>如何摆脱当前“内卷”困局

    武汉芯源半导体首款级MCU,CW32A030C8T7通AEC-Q100测试考核

    ,AEC-Q100测试考核门槛高、测试项目覆盖广、标准极为严苛,是芯片产品进入汽车领域的重要通行证之一。 CW32A030C8T7MCU能通过AEC-Q100较长周期下严格的可靠性
    发表于 11-30 15:47

    #汽车电子 #igbt # 芯片级主板、级IC。那么级到底是什么级别呢?

    芯片汽车电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月10日 16:00:23

    高可靠、高性能MCU, 满足车身控制多元应用

    /汽车&新兴产业深度报告(2022-7-12);电子工程专辑(2023-6-19) 从上表可看出车用MCU对工作温度要求更宽的范围,笙泉MCU可用于环境温度范围-40°C到105
    发表于 09-15 12:04

    产品推荐 | Abracon 的级共模扼流圈

    级共模扼流圈 过滤汽车和工业应用中的功率和信号噪声 Abracon最新的共模扼流圈(CMC)可用于电源线和信号线的应用。此外,信号线CMC可以支持can、can-FD和以太网数据传输中的噪声
    发表于 09-12 14:48

    创龙科技位居头版,2023深圳elexcon电子展为智能化赋能!

    。 ​ 明后天,2023国际物联网技术创新与应用大会、新型电子电气架构与芯片发展解决方案、GPU技术与生态专题论坛等高峰论坛也将陆续启幕!精彩继续! ​ ​ ​
    发表于 08-24 11:49

    中国信通院发布“2023云计算十大关键词

    来源:中国信通院7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词
    的头像 发表于 07-31 23:44 461次阅读
    中国信通院发布“<b class='flag-5'>2023</b>云计算十大<b class='flag-5'>关键词</b>”

    ICDIA 2023丨四维图新旗下杰发科技入选《国产车规芯片可靠性分级目录》并荣获“汽车电子创新奖”

    )。杰发科技凭借突出的产品实力,以及在国产汽车电子芯片领域的多项创新技术突破, 成功获得“第十届汽车电子创新奖”,同时5款车规级芯片入选《国产
    的头像 发表于 07-19 17:10 394次阅读
    ICDIA <b class='flag-5'>2023</b>丨四维图新旗下杰发科技入选《<b class='flag-5'>国产</b>车规<b class='flag-5'>芯片</b>可靠性分级目录》并荣获“<b class='flag-5'>汽车</b>电子创新奖”

    笙泉MCU喜获AEC-Q100认证,正式在车赛道上奔驰

    笙泉MCU喜获AEC-Q100认证,正式在车赛道上奔驰 用MCU需求强劲受益于汽车电动化、智慧化、网联化程度加深,汽车电子在全球MCU(微控制器单元)下游构成占比逐年升高至35
    发表于 06-26 13:07