0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

中国信通院发布“2023云计算十大关键词”

颖脉Imgtec 2023-07-31 23:44 次阅读

7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023 可信云大会”在京召开。中国信息通信研究院云计算与大数据研究所所长何宝宏在会上正式发布“2023云计算十大关键词”,解读云计算产业发展重要趋势。

十大关键词分别是:应用现代化、一云多芯、分布式云、低/无代码、软件工程、系统稳定性、云原生安全、云优化治理、中小企业上云、超算/智算服务。

云计算是数字经济的重要技术基座,加快推动云计算创新发展,顺应新一轮科技革命和产业变革趋势,是推进中国式现代化进程的关键。基于对云计算产业的长期研究与观察,中国信息通信研究院认为,以下十大关键词充分凸显云计算产业发展最新趋势。


关键词1:应用现代化

云原生成为数字基础设施,加速应用现代化发展

数字时代生产生活方式的变革,推动应用加速创新,基于云计算基础设施构建技术架构、应用架构、数据架构、组织流程和用户体验全面提升的现代化应用成为重要发展趋势。从技术角度看,云原生已成为数字基础设施。从大环境看,数字时代引发了生产生活方式的巨大变革。在多因素的驱动下,以云为底座的应用需要充分契合时代诉求,迈向现代化。


关键词2:一云多芯

算云融合带来异构复杂性,一云多芯是重要解决方案

随着“云计算”和“算力”深度融合发展,异构的底层硬件、客户操作系统及支撑软件等因素进一步加剧算云融合进程的复杂性。一云多芯应运而生。一云多芯是一种屏蔽异构技术栈差异,提供统一的云计算环境和管理方式的技术架构,可以实现多种平台环境的高效协同,为保障业务系统的稳定运行提供新的路径。


关键词3:分布式云

多方需求推动云计算向分布式部署演进,各行业利用分布式云加速转型升级

随着用户对边缘计算、数据安全合规、混合多云部署、行业定制等方面的需求不断增加,集中式云计算部署模式已经无法满足所有用云场景需求,将云能力扩展到任意位置,实现算力分布泛在、弹性敏捷部署、全局管理调度、一体安全防护,满足时延敏感、数据合规、大型组织分支机构管理、分布式应用治理等业务场景需求,推动政务、金融、交通、制造、能源等各行业数字化转型发展。


关键词4:低/无代码

低/无代码推动软件编程平民化,引领业务和技术真正走向融合

随着企业数字化转型加快,降低成本投入、提升技术组件复用度和需求响应速度、加强企业组织结构管理是企业对低/无代码的首要需求。随着AIGC的兴起,低/无代码2.0将基于大型预训练模型,支持理解和生成代码、组件、可视化操作界面等开发元素,进一步强化低/无代码组件化、高复用、高灵活的特点,直接触达业务需求,极大提高研发流畅度。


关键词5:软件工程

云上软件研发需求日趋规模化和多样性,平台工程应运而生

云时代大量应用高频率发布和部署,故障模式难以预测和跟踪,软件交付速度与软件质量的平衡问题也愈加凸显。伴随着云上软件研发的需求日趋规模化和多样性,平台工程应运而生。平台工程是自助式内部开发者平台的技术架构和运营管理模式,通过实践平台工程,可减轻开发工程师的认知负担,屏蔽基础设施的复杂性,实现应用程序灵活扩展升级,提高云应用性能和安全性,最终提高研发效率、实现快速高质量交付。


关键词6:系统稳定性

云上系统稳定性保障挑战巨大,SRE提供“稳保”方法论

我国监管层面高度重视系统稳定安全运行,但当前全面上云的环境下,技术侧和用户侧的变化为稳定性保障带来巨大挑战。SRE作为一种以韧性为核心的实践方法,在云上环境中能够提供系统稳定性保障的最佳实践。通过关注系统韧性、自动化、故障管理和跨团队合作等方面,SRE能够支持事前故障预防、事中故障发现与定位、事后故障止损与优化,帮助构建和维护在云上环境中稳定韧性的系统。


关键词7:云原生安全

云安全加速向云原生安全演进,聚焦以应用为核心的全栈安全

云原生经过多年发展,已实现高质量规模化落地。云原生革新了云上软件架构和应用构建模式,建设面向云原生的新安全防护体系成为保障云上安全的刚需;同时,云原生不可变基础设施、可编排、弹性敏捷等技术优势也在赋能传统安全,助力安全与基础设施、业务应用的深度融合,云原生安全成为云上安全防护的最佳路径。云原生安全技术生态日趋成熟,聚焦以应用为核心的全栈式安全防护。


关键词8:云优化治理

云优化治理内涵不断丰富,加速企业降本增效

随着企业核心业务逐步上云,云资源使用量和架构复杂度不断提升,企业面临云成本管理、资源治理等优化治理挑战。随着企业需求不断提高,云优化治理的理念、技术、工具、生态全面发展,支撑企业云优化治理能力建设,加速企业降本增效,进一步释放云计算价值。


关键词9:中小企业上云国家高度重视中小企业上云,中小企业是上云用云的主力军

十四五以来,国家高度重视中小企业上云水平和深度。在政策指引下,中小企业上云意识和积极性显著提升,上云进度不断加快,应用程度不断加深。中小企业在数字化发展中主要面临业务数据分散、自动化水平低、个性化需求高,建设预算有限以及缺乏技术人才储备等痛点问题,亟需上云用云提高信息系统互联互通和低成本定制化开发能力。SaaS服务成为中小企业上云用云的主要选择,“一站式”模式降低中小企业上云用云门槛。


关键词10:超算/智算服务

云计算促进算力资源服务化、普惠化,超算/智算服务加速推进产业发展

随着云计算技术的发展与成熟,其逐步推动智算/超算服务以资源交付走向任务交付,实现算力资源以云服务的方式提供,推动智算/超算服务的普惠化、泛在化。在智算规模持续扩大的背景下,涌现出众多应用智算的服务场景,包括智慧交通、智慧医疗、智慧城市和智慧制造等,智算服务成为众多行业发展的催化剂。以航天、国防、气候建模和石油勘探等领域为主的高精尖领域依靠超算提供的服务不断发展进步,超算服务成为衡量尖端领域发展的晴雨表。何宝宏表示,云计算已经成为数字基础设施,上好云、用好云成为企业数字化转型的必由之路。中国信通院将紧跟云计算发展趋势与行业痛点,推动先进技术落地与应用,搭建各方沟通交流桥梁,促进云计算知识共享流通。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 通信
    +关注

    关注

    18

    文章

    5706

    浏览量

    134400
  • 云计算
    +关注

    关注

    38

    文章

    7349

    浏览量

    135718
  • 软件
    +关注

    关注

    67

    文章

    4350

    浏览量

    85624
收藏 人收藏

    评论

    相关推荐

    工业电脑选择组件的十大关键因素

    对于工业电脑,有许多不同的组件可供选择。那么,您如何知道为您的特定应用选择哪些?本文将讨论为工业电脑选择组件时需要考虑的十大关键因素,从存储和处理能力考虑到内存要求、输入和输出需求。1.
    的头像 发表于 03-29 12:02 118次阅读
    工业电脑选择组件的<b class='flag-5'>十大关键</b>因素

    联想集团联合中国信通院正式发布中国企业智能化成熟度报告2023

    3月27日,由联想集团和中国信息通信研究院共同撰写的《中国企业智能化成熟度报告(2023)》(以下简称《报告》)正式发布
    的头像 发表于 03-28 09:45 143次阅读
    联想集团联合<b class='flag-5'>中国信</b>通院正式<b class='flag-5'>发布</b>《<b class='flag-5'>中国</b>企业智能化成熟度报告<b class='flag-5'>2023</b>》

    深开鸿用三个关键词,为你解读《2023 OpenHarmony 年度运营报告》

    的一笔共同奏响中国基础软件的光辉岁月作为OpenHarmony生态的领军企业深开鸿通过三个关键词带你读懂《2023OpenHarmony年度运营报告》01繁荣202
    的头像 发表于 02-02 17:00 166次阅读
    深开鸿用三个<b class='flag-5'>关键词</b>,为你解读《<b class='flag-5'>2023</b> OpenHarmony 年度运营报告》

    2023年存储芯片行业十大关键词

    低迷的形势下存储行业仍然出现不错的投融资行为,旷日持久的知识产权纠纷案也终见分晓。让我们一起回顾充满挑战的2023年,并迎接2024年的新机遇。   知识产权   首先跳出来的重大事件非美光和晋华达到全球和解莫属。   2023年12月26日
    的头像 发表于 01-06 01:08 1593次阅读

    跨周期,创未来!华秋喜获中国产业互联网周年-杰出企业

    ,未来也将推动中国经济的快速发展。 12月27日,由中国信息协会指导,B2B内参、产业互联网大视野、产业互联网创新研究联合主办的2023中国
    发表于 01-04 11:57

    2023中国智能制造十大科技进展发布,这项传感技术入选

    近日,在2023世界智能制造大会上,国际智能制造联盟主席、中国工程院院士杨华勇在开幕式上重磅发布2023世界智能制造十大科技进展”及“
    的头像 发表于 12-22 08:39 1788次阅读
    <b class='flag-5'>2023</b><b class='flag-5'>中国</b>智能制造<b class='flag-5'>十大</b>科技进展<b class='flag-5'>发布</b>,这项传感技术入选

    #2023,你的 FPGA 年度关键词是什么? # PWM模块更新

    之前的因为一些问题发的code有点问题,这次把更新之后code发了出来,虽然也不是很完善但是初步还是可以用的; 对应的code如下: `timescale 1ns / 1ps modulecreat_PWM ( inputwireclk,//系统时钟为100MHz inputwirerst,//系统复位 inputwirekey_flag1,//占空比上调 inputwirekey_flag2,//占空比下调 inputwirekey_flag3,//频率上调 inputwirekey_flag4,//频率下调 output regPWM ); //PWM波形频率选择 reg [1:0] Frequency_seting; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b11) && (key_flag3==1\'b1) ) Frequency_seting <= 2\'b00; else if( (Frequency_seting == 2\'b00) && (key_flag4==1\'b1) ) Frequency_seting <= 2\'b11; else if( key_flag3==1\'b1 ) Frequency_seting <= Frequency_seting + 1\'b1; else if( key_flag4==1\'b1 ) Frequency_seting <= Frequency_seting - 1\'b1; else Frequency_seting <= Frequency_seting; //PWM波形的频率设定 reg [23:0] Frequency_CNT_MAX; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) Frequency_CNT_MAX <= 24\'d9_999; else case( Frequency_seting ) 2\'b00 : Frequency_CNT_MAX <= 24\'d9_999; 2\'b01 : Frequency_CNT_MAX <= 24\'d99_999; 2\'b10 : Frequency_CNT_MAX <= 24\'d999_999; 2\'b11 : Frequency_CNT_MAX <= 24\'d9_999_999; default : Frequency_CNT_MAX <= 24\'d9_999; endcase //PWM频率生成计数器模块 reg [23:0] counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) counter <= 0; else if( counter == Frequency_CNT_MAX) counter <= 0; else counter <= counter + 1\'b1; //占空比调节模块,步进为10% reg [23:0] duty_counter; always @(posedge clk or negedge rst) if( rst == 1\'b0 ) duty_counter <= Frequency_CNT_MAX/2; else if( key_flag1 == 1\'b1 ) duty_counter <= duty_counter + (Frequency_CNT_MAX/10); else if( key_flag2 == 1\'b1 ) duty_counter <= duty_counter - (Frequency_CNT_MAX/10); else duty_counter <= duty_counter; //生成PWM always @(posedge clk or negedge rst) if( rst == 1\'b0 ) PWM <= 1\'b0; else if( duty_counter <= counter ) PWM <= 1\'b1; else PWM <= 1\'b0; endmodule 对应的测试用的testbench如下: `timescale 1ns/1ns module tb_creat_PWM(); //****************** Parameter and Internal Signal *******************// //wire define wirePWM; //reg define reg clk; reg rst; reg key_flag1; reg key_flag2; reg key_flag3; reg key_flag4; //***************************** Main Code ****************************// initial begin clk = 1\'b1; rst <= 1\'b0; key_flag1 <= 1\'b0; key_flag2 <= 1\'b0; key_flag3 <= 1\'b0; key_flag4 <= 1\'b0; #201; rst <= 1\'b1; #200; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; key_flag1 <= 1\'b1; #100; key_flag1 <= 1\'b0; #20000000; #20000000; $stop; end // creator clk always #10 clk <= ~clk; //*************************** Instantiation **************************// creat_PWMcreat_PWM_inst ( .clk ( clk), .rst ( rst), .key_flag1 ( key_flag1 ), .key_flag2 ( key_flag2 ), .key_flag3 ( key_flag3 ), .key_flag4 ( key_flag4 ), .PWM ( PWM) ); endmodule 对应的原始code中的参数如果修改一下是可以大幅缩短仿真时间,但是一时没有想起对应的修改模块内部变量的方法,后面找到后再进行补充。 写的还是感觉比较差劲,只能说说慢慢进步吧,自己也是自学不久。
    发表于 12-12 10:47

    #2023,你的 FPGA 年度关键词是什么? # PWM模块基础设计

    由于今天连续多次无法发布该文章,心态真的是崩了,由于基础的PWM比较简单,此次先给大家展示个半成品,完整状态对应的PWM频率、占空比均可调节,对应的模块结构图如下: 对应的基本code如下
    发表于 12-06 21:56

    #2023,你的 FPGA 年度关键词是什么? #

    FPGA 年度关键词,我的想法是“标准化”;今年的工作中遇到了不少同事的issues,本身都是小问题或者很细节的东西但是却反复出现问题,目前想到的最好的办法是做好设计规则的标准化才能避免,不知道大家有没有更好的建议?
    发表于 12-06 20:31

    2023RISC-V中国峰会,澎峰科技成果发布抢先看!

    2023 RISC-V中国峰会(RISC-V Summit China 2023)将于8月23日至25日在北京香格里拉饭店举行。本届峰会将以“RISC-V生态共建”为主题,结合当下全球新形势,把握
    发表于 08-15 18:28

    中国信通院发布2023计算十大关键词

    来源:中国信通院 7月25日,由中国信息通信研究院、中国通信标准化协会主办的“2023 可信云大会”在京召开。中国信息通信研究院云
    的头像 发表于 07-27 10:35 567次阅读
    <b class='flag-5'>中国信</b>通院<b class='flag-5'>发布</b>“<b class='flag-5'>2023</b>云<b class='flag-5'>计算</b><b class='flag-5'>十大关键词</b>”

    2023大数据十大关键词

    随着移动互联网飞速发展,为满足多种数据类型存储、多场景分析等业务诉求,部分企业采用在一个数据平台之上混合部署数据湖和数据仓库,通过ETL进行数据交换的业务架构。这一湖+仓混合架构存在存储成本高、时效性差、易出现一致性问题、开发运维难度高四大问题。
    的头像 发表于 06-28 15:37 566次阅读
    <b class='flag-5'>2023</b>大数据<b class='flag-5'>十大关键词</b>

    道一云入选中国信通院《2023低代码·无代码应用案例汇编》

    近日,由中国信息通信研究院、中国通信标准化协会主办,中国通信标准化协会云计算标准和开源推进委员会承办,企业数字化发展共建共享平台支持的“2023
    的头像 发表于 05-31 16:54 456次阅读

    RISC-V入!赛昉科技联合中国电信完成首个RISC-V云原生轻量级虚拟机验证

    电信构建RISC-V计算生态领先优势的关键一环,有助于推动中国电信引领RISC-V上,实现数据中心基础设施自主可控、降低算力成本等目标。
    发表于 05-11 14:08

    中国信公布 5G 标准必要专利全球最新排名:华为第一、小米首次进入前

    帮助全行业可持续发展目标的实现。 全球产业主体在积极参与5G标准制定的同时,也不断向欧洲电信标准化协会(ETSI)声明5G标准必要专利。为研究全球最新的5G标准必要专利声明情况,中国信息通信研究
    发表于 05-10 10:39