0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

CoWoS封装产能限制AI芯片出货量

半导体产业纵横 来源:半导体产业纵横 2024-01-19 11:14 次阅读

一季度产能将达17000片晶圆/月。

据业内消息人士称,尽管最近市场传言英伟达已缩减2024年与台积电代工厂的订单,但台积电仍在继续扩大其CoWoS封装产能。

最近市场传言表明,英伟达在中国大陆的收入已经崩溃,其他市场无法填补中国大陆巨大的需求缺口。此外,接替H100的下一代GPU HGX H200将于第二季度上市,第三季度销量将有所增加。客户对现有H100和新H200芯片的订单正在调整,带来不确定性。

据传言,由于这些不确定性,英伟达首次削减了台积电预期的4nm工艺和CoWoS产能订单。

晶圆厂设备制造商称,台积电的可用CoWoS产能仍不足以满足需求。消息人士称,尽管台积电努力加快设备改造,但到2023年底,CoWoS的月产能仅为15000片晶圆。

消息人士指出,台积电正在修改InFO(集成扇出型)的部分设备,以支持CoWoS生产,该设备仍处理大部分先进封装出货。CoWoS封装的月产能预计将在2024年第一季度达到17000片晶圆。

消息人士称,台积电还为CoWoS生产分配更多晶圆厂产能,这将导致2024年CoWoS封装的月产能逐季增加,最终达到26000-28000片晶圆。

CoWoS封装产能限制AI芯片出货量

英伟达AI GPU的短缺是由于台积电CoWoS封装的产能不足。

台积电应众多客户要求,于2023年第二季度开始紧急配置产能,新CoWoS设备的交付时间超过6个月,部分设备从接到订单到生产安装需要长达10个月的时间。尽管如此,广达电脑、纬创资通、超微(Supermicro)、技嘉、华硕等公司声称有订单但无法履行,这表明CoWoS供应缺口仍然存在。

据业内人士透露,台积电大约一半的CoWoS封装可用产能仍专门用于满足英伟达AI GPU的需求,这表明英伟达对即将于今年晚些时候发布的H200和B100 GPU充满信心。3nm B100系列预计2024年底出货。

英伟达计划在2024年第二季度发布规格较低的定制AI芯片,而高端H100 GPU仍然在全球范围内需求旺盛且缺货。

台积电已承诺在2024年大幅增加CoWoS封装产能。消息人士称,除了英伟达之外,随着微软和其他客户采用MI300 AI GPU系列,AMD也增加了对台积电CoWoS封装的需求。另外,博通也是预付CoWoS产能费用的客户。

台积电在举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4nm 级工艺制程研发已经全面展开。根据 SemiAnalysis 的 Dylan Patel 给出的幻灯片,台积电的 1.4nm 制程节点正式名称为 A14。

目前台积电尚未透露 A14 的量产时间和具体参数,但考虑到 N2 节点计划于 2025 年底量产,N2P 节点则定于 2026 年底量产,因此 A14 节点预计将在 2027-2028 年问世。

在技术方面,A14 节点不太可能采用垂直堆叠互补场效应晶体管(CFET)技术,不过台积电仍在探索这项技术。因此,A14 可能将像 N2 节点一样,依赖于台积电第二代或第三代环绕栅极场效应晶体管(GAAFET)技术。

半导体业内人士认为,台积电目前已经感受到三星英特尔的压力,而且创始人张忠谋已经将主要担忧从三星转移到英特尔方面。

英特尔近日发布报告,在 PowerVia 背面供电技术、玻璃基板和用于先进封装的 Foveros Direct 方面均取得较大成功。

根据 TrendForce 集邦咨询 3Q23 全球晶圆代工营收 TOP10 排名,英特尔晶圆代工业务首次进入全球 TOP10,以业界最快的季度增长位列第九。

2011年,台积电技术专家余振华带来了第一个产品——CoWoS。

CoWoS(Chip On Wafer On Substrate)是一种2.5D的整合生产技术由CoW和oS组合而来:先将芯片通过Chip on Wafer(CoW)的封装制程连接至硅晶圆,再把CoW芯片与基板(Substrate)连接,整合成CoWoS。据悉,这是蒋尚义在2006年提出的构想。

CoWoS的核心是将不同的芯片堆叠在同一片硅中介层实现多颗芯片互联。在硅中介层中,台积电使用微凸块(μBmps)、硅穿孔(TSV)等技术,代替传统引线键合用于裸片间连接,大大提高了互联密度以及数据传输带宽。

CoWoS技术实现了提高系统性能、降低功耗、缩小封装尺寸的目标,从而也使台积电在后续的封装技术保持领先。

这也是目前火热的HBM内存、Chiplet等主要的封装技术。

据悉,继英伟达10月确定扩大下单后,苹果、AMD、博通、Marvell等重量级客户近期也对台积电追加CoWoS订单。台积电为应对上述五大客户需求,加快CoWoS先进封装产能扩充脚步,明年月产能将比原订倍增目标再增加约20%,达3.5万片——换言之,台积电明年CoWoS月产能将同比增长120%。

同时,台积电根据不同的互连方式,把“CoWoS”封装技术分为三种类型:

CoWoS-S:它使用Si中介层,该类型是2011年开发的第一个“CoWoS”技术,为高性能SoC和HBM提供先进的封装技术;

CoWoS-R:它使用重新布线层(RDL)进行布线,更强调Chiplet间的互连。能够降低成本,不过劣势是牺牲了I/O密度;

CoWoS-L:它使用小芯片(Chiplet)和LSI(本地硅互连)进行互连,结合了CoWoS-S和InFO技术的优点,具有灵活集成性。

多年来,CoWoS一直在追求不断增加硅中介层尺寸,以支持封装中的处理器和HBM堆栈。台积电通过长期的技术积累和大量成功案例,目前CoWoS封装技术已迭代到了第5代。

审核编辑:黄飞

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 台积电
    +关注

    关注

    43

    文章

    5279

    浏览量

    164803
  • gpu
    gpu
    +关注

    关注

    27

    文章

    4422

    浏览量

    126711
  • 英伟达
    +关注

    关注

    22

    文章

    3330

    浏览量

    87783
  • CoWoS
    +关注

    关注

    0

    文章

    93

    浏览量

    10320
  • AI芯片
    +关注

    关注

    17

    文章

    1656

    浏览量

    34386

原文标题:台积电持续扩大CoWoS封装产能

文章出处:【微信号:ICViews,微信公众号:半导体产业纵横】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    曝台积电考虑引进CoWoS技术 筹划日本建先进封装产能

     今年年初,台积电总裁魏哲家曾表示,公司计划在今年将CoWoS的产量翻倍,并在2025年继续扩大产能。日本已成为台积电扩大产能的重要目标。
    的头像 发表于 03-18 15:31 582次阅读

    台积电CoWoS先进封装产能目标上调,交货周期缩短至10个月

    台积电设定了提高推进先进封装能力的目标,预计到2024年底,其CoWoS封装产能将达到每月3.2万片,而到2025年底将进一步增至每月4.4万片。
    的头像 发表于 01-25 11:12 437次阅读

    AMD寻求CoWoS产能,以拓展AI芯片市场

     据了解,台积电公司(TSMC)的CoWoS产能已经饱和,且未来扩产计划主要服务于英伟达,为满足AMD需求新建生产线需耗时6—9个月。据此推测,AMD可能会寻找具有类似CoWoS 封装
    的头像 发表于 01-03 14:07 233次阅读

    传台积电明年CoWoS产能再度上调至每月38000片!

    摩根士丹利证券半导体产业分析师詹家鸿最新调查显示,台积电CoWoS明年的月产能将进一步提升到38,000片,进度再度超预期,代表AI需求极为健康,更意味AI GPU与ASIC的营收会进
    的头像 发表于 12-04 16:33 464次阅读

    报告称台积电改机增CoWoS产能 预估明年倍增

    在展望明年cowos产能力状况时,法人预测台积电明年cowos的年生产能力将增加100%,其中英伟达将占tsmc cowos
    的头像 发表于 11-08 14:29 335次阅读

    英伟达再追单AI芯片,台积电紧急增购CoWoS封装设备

    业内人士透露,台积电目前cowos的先进的密闭型是约2万个,月生产能力之前开始生产后,原先订购的协助生产能力逐步增至15 000个在20 000个了,目前追加确保设备的话,月生产能力是
    的头像 发表于 09-25 14:45 387次阅读

    CoWoS产能不足 传台积电启动第三波设备追单

    几个月前,英伟达 ai gpu的需求激增,导致tsmc组装cowos先进产品的能力严重不足。tsmc总经理魏哲家此前曾在与顾客的电话会议上表示,要求扩大cowos的生产能力。
    的头像 发表于 09-12 09:53 366次阅读

    RISC-V芯片出货量崛起,专利联盟在上海成立

    终端产品需求可定制、安全可靠、低功耗等特点,因此在全球范围内快速崛起。2022年全球采用RISC-V架构的芯片出货量已超100亿颗,RISC-V的商业化价值将更加凸显。 RISC-V正成为中国CPU
    发表于 08-30 23:06

    英伟达GPU短缺影响AI服务器出货量 台积电加紧扩产

    据消息人士透露,台积电一直在为提高cowos的先进封装能力,满足英伟达ai芯片的供应而努力,但目前的生产能力仍不足以满足需求。消息人士还补充
    的头像 发表于 08-14 10:37 559次阅读

    英伟达将取台积电6成CoWoS产能

    据台媒电子时报报道,数月前英伟达AI GPU需求急速导致台积电CoWoS先进封装产能严重不足,近日台积电总裁魏哲家坦言,先前与客户电话会议,要求扩大
    的头像 发表于 08-09 09:35 928次阅读
    英伟达将取台积电6成<b class='flag-5'>CoWoS</b><b class='flag-5'>产能</b>?

    先进封装CoWoS:台积电吃肉,其他家只能喝汤

    AI芯片带来的强劲需求下先进封装景气度正在反转。有媒体日前消息称,当前英伟达、博通、AMD均在争抢台积电CoWoS产能,公司
    的头像 发表于 08-01 10:36 1653次阅读
    先进<b class='flag-5'>封装</b><b class='flag-5'>CoWoS</b>:台积电吃肉,其他家只能喝汤

    CoWoS先进封装是什么?

    随着chatGPT横空出世,生成式AI红遍全球,带动AI芯片的需求强劲,英伟达(NVIDIA)的H100、A100全部由台积电代工,并使用台积电的CoWoS先进
    的头像 发表于 07-31 12:49 2474次阅读

    AI需求爆发将驱动先进封装产能增长

    集邦观察,在强劲需求的带动下,台积电到2023年底cowos月产量有望达到12k。仅英伟达的cowos产能力就比年初增加了50%。如果amd、谷歌等高水平ai
    的头像 发表于 06-27 09:41 346次阅读

    如何区分Info封装CoWoS封装呢?

    Info封装CoWoS封装是目前2.5D封装的典型代表,同属于TSMC开发的2.5D封装,那么如何区分 Info
    发表于 06-20 11:51 3675次阅读
    如何区分Info<b class='flag-5'>封装</b>与<b class='flag-5'>CoWoS</b><b class='flag-5'>封装</b>呢?

    如何区分Info与CoWoS封装

    Info封装CoWoS封装是目前2.5D封装的典型代表,同属于TSMC开发的2.5D封装,那么如何区分 Info
    发表于 06-20 11:50 1353次阅读
    如何区分Info与<b class='flag-5'>CoWoS</b><b class='flag-5'>封装</b>?