0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习-分频器设计

FPGA设计论坛 来源:未知 2023-11-03 15:55 次阅读

分频器设计

一:分频器概念

板载时钟往往有限个(50MHZ/100MHZ/24MHZ/60MHZ…),如果在设计中需要其他时钟时,板载时钟不满足时,需要对板载时钟进行分频/倍频,目的是用于满足设计的需求。

分频:产生比板载时钟小的时钟。

倍频:产生比板载时钟大的时钟。

二:分频器的种类

对于分频电路来说,可以分为整数分频和小数分频。

整数分频:偶数分频和奇数分频。

小数分频:半整数分频和非半整数分频。

三:分频器的思想

采用计数器的思想实现。

例子1:模10计数器

wKgaomVEqIKAce7zAAATANfInjY840.png

假设系统时钟sys_clk50MHZ,对应的时钟周期Tclk=20ns,计数器每计数一次需要20ns,那么计数10次需要200ns

1整数分频:偶数分频(Duty50

wKgaomVEqIKAMMnnAAAVO-hBTLE007.png

计数器计数10个持续时间为T=200ns,那么输出频率:

F=1/T=1/200ns=10^9/200HZ=5MHZ

1MHZ=10^3KHZ=10^6HZ

通过模10计数器得到的频率为5MHZ

占空比:高电平持续时间占整个周期比值。

50MHZ~5MHZ10分频电路(Duty50

2整数分频:偶数分频(输出时钟高电平持续系统时钟一个周期:尖峰脉冲信号

wKgaomVEqIKAIhceAAAZlrb7KKA470.png

3整数分频:偶数分频(Duty60

wKgaomVEqIKAH1_bAAAWUeyMFwA064.png

根据上述偶数分频的方式,可以得出以下结论:

Fsys_clk= 50MHZTsys_clk= 1/Fsys_clk= 20ns

如果Fclk_out = 5MHZTclk_out = 1/ Fclk_out = 200ns

以系统时钟上升沿来时,使其计数器加1,换句话说计数器计数一次需要20ns,当满足200ns持续时间时,需要让计数器计数10次。

那么计数器计数最大值cnt_max=10

推导公式:cnt_max(计数器计数最大值) = 200ns/20ns = Tclk_out/ Tsys_clk= Fsys_clk/ Fclk_out

wKgaomVEqIKADRYsAABtM6Ao0ZU795.png

4整数分频:奇数分频

例子15分频电路(50MHZ--->10MHZ):Duty=3:2

wKgaomVEqIKARi5RAAAYj--qpQw760.png

测试35分频电路(Duty=3:2

wKgaomVEqIKAWufxAAAj1eHrtSQ224.png

例子25分频电路(50MHZ--->10MHZ):Duty=1:1

wKgaomVEqIOAVbR1AABWzrUE5Rk599.png

首先需要设置两个计数器(0~4),第一个计数器以时钟上升沿计数,第二个计数器以时钟下降沿计数,都分别产生5分频电路,且占空比都为3:2,最后输出5分频电路占空比1:1就是由上述两个5分频电路相与得到的。

clk_out = clk_out1 & clk_out2;

如果5分频电路占空比是2:3时,最后输出5分频电路占空比1:1就是由上述两个5分频电路相或得到的。

clk_out = clk_out1 | clk_out2;

测试45分频电路(Duty=1:1

wKgaomVEqIOAXNq9AABAFBAPTAQ115.png

测试55分频电路(Duty=1:1

wKgaomVEqIOAdhXRAAA-V0Xrn34307.png

wKgaomVEqIOAW-qqAABUdafP6GM592.jpg

精彩推荐 至芯科技FPGA就业培训班——助你步入成功之路、10月29号西安中心开课、欢迎咨询! FPGA学习-时序逻辑电路 什么是PCIe?扫码加微信邀请您加入FPGA学习交流群

wKgaomVEqIOAazrxAABiq3a-ogY997.jpgwKgaomVEqIOAeSgXAAACXWrmhKE661.png

欢迎加入至芯科技FPGA微信学习交流群,这里有一群优秀的FPGA工程师、学生、老师、这里FPGA技术交流学习氛围浓厚、相互分享、相互帮助、叫上小伙伴一起加入吧!

点个在看你最好看


原文标题:FPGA学习-分频器设计

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593264

原文标题:FPGA学习-分频器设计

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    分频器的作用 分频器的功率是不是越大越好

    分频器是一种电子设备,用于将输入信号分成不同频率的输出信号。其主要作用是将原始输入信号分离成多个频率范围内的信号,以供不同的电路进行处理。分频器广泛应用于通信、测量和音频系统中。 分频器的主要
    的头像 发表于 02-01 11:19 764次阅读

    CAN时钟分频器在CANbus模块上不执行任何操作的原因?

    我一直在使用 dsPIC33CH128MP506 进行一些 CANbus 通信。 它能够进行CAN-FD,并且有两个独立的波特率分频器,用于标称波特率和数据波特率。它们是:C1NBTCFGH 内
    发表于 01-22 06:36

    如何实现一种占空比为50%的奇数分频器设计呢?

    在进行数字电路设计的过程中,分频器是设计中使用频率较高的一种基本设计之一
    的头像 发表于 11-07 17:29 987次阅读
    如何实现一种占空比为50%的奇数<b class='flag-5'>分频器</b>设计呢?

    Sigma-Delta小数分频PLL中的分频器该怎么做?

    文献给出的分频器结构如图1所示。该分频器最高输入频率(f~in~)为16.3GHz,也就是一个周期只有(T~in~,T ~in~ = 1/ f~in~)61.3ps。
    的头像 发表于 10-31 12:54 950次阅读
    Sigma-Delta小数<b class='flag-5'>分频</b>PLL中的<b class='flag-5'>分频器</b>该怎么做?

    模拟IC设计原理图3:数字分频器的原理和电路原理图

    学完了寄存器,我们就可以基于寄存器设计除存储外具有实用功能的电路了。在这里我们来讨论一下,数字分频器
    的头像 发表于 10-30 15:30 1400次阅读
    模拟IC设计原理图3:数字<b class='flag-5'>分频器</b>的原理和电路原理图

    倍频器和分频器的主要作用

    倍频器和分频器是两种常见的频率转换电路,它们的用途有一定的不同之处。
    的头像 发表于 07-14 09:27 944次阅读

    分频器的常见用途

    分频器是一种电子设备,其主要作用是将输入信号的频率降低到较低的频率。它通过将输入信号分为若干个等分的周期,每个周期输出一个脉冲或波形,从而实现对输入信号频率的降低。
    的头像 发表于 07-14 09:26 884次阅读

    使用IC555和IC4013构建的分频器电路

    曾经遇到过这样一种情况,即您只有一个特定频率的信号源,需要获取多个频率的信号。如果是,这种电路可能是您需要在设计中使用的电路。上述电路是一个分频器,能够通过一定的因素对输入时钟频率进行分频。该分频器
    的头像 发表于 07-02 11:47 878次阅读
    使用IC555和IC4013构建的<b class='flag-5'>分频器</b>电路

    基于FPGA分频器设计

    板载晶振提供的时钟信号频率是固定的,不一定满足需求,因此需要对基准时钟进行分频。要得到更慢的时钟频率可以 分频 ,要得到更快的时钟频率可以 倍频 。我们有两种方式可以改变频率,一种是 锁相环 (PLL,后面章节会讲解),另一种是用 Verilog代码描述。
    的头像 发表于 06-23 16:52 1294次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>分频器</b>设计

    029lan的pwm预分频器设置最少要为1吗,即2分频,设为0时没有输出是为什么?

    如题,这样一来,pwm的时钟哪怕是来自HCLK(029不支持PLL作为pwm时钟)最高50MHZ,经预分频器最少2分频的话,时钟最高只有25Mhz了?M0518pwm的预分频器可以设为0,不
    发表于 06-19 08:14

    在Verilog HDL中使用分频器的8位计数器的设计

    电子发烧友网站提供《在Verilog HDL中使用分频器的8位计数器的设计.zip》资料免费下载
    发表于 06-15 10:14 0次下载
    在Verilog HDL中使用<b class='flag-5'>分频器</b>的8位计数器的设计

    171 分频器电路怎么看?配合实物不难明白#硬声创作季

    分频器
    或许
    发布于 :2023年06月05日 17:54:15

    请叫大神帮我画出分频器电路图

    买了一个国产某jbl品牌的音箱,感觉唱歌声音发闷,不好听。分频器照片在这,拜托,谁能帮我画出电路图,我分析一下,红色白色蓝色黑色一边的4颗线接4个高音喇叭的,看起来这4个喇叭一样,但是说明书上面说
    发表于 06-01 22:41

    FPGA分频器的设计方法

    FPGA分频器是一种常用于数字信号处理、通信系统、雷达系统等领域的电路,其作用是将信号分成多个频段。
    发表于 05-22 14:29 1205次阅读
    <b class='flag-5'>FPGA</b><b class='flag-5'>分频器</b>的设计方法

    基于51单片机的分频器1(计数器实现)例程源代码

    基于51单片机的分频器1(计数器实现)例程源代码
    发表于 05-18 09:54 2次下载