0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

三星半导体宣布突破背面供电技术

芯长征科技 来源:半导体材料与工艺 2023-08-16 09:51 次阅读

在背面供电技术上,台积电似乎没有领先。

半导体技术的许多进步都取决于减小封装尺寸,同时结合附加功能和更高效的供电方法。目前的供电方法会占用晶圆上的大量空间,导致成本增加、芯片尺寸增大和晶体管减少。今年早些时候,三星半导体展示了其关于传统半导体供电方法的替代方案的研究:背面供电。这可能导致芯片尺寸的显著减小和布线拥塞的减少。

根据TheElec和三星在今年超大规模集成(VLSI)研讨会上的演讲报告,与传统的前端供电网络(PDN)相比,新的背面供电网络(BSPDN)方法成功地将所需的晶圆面积减少了14.8%。成功实施后,两个ARM电路的面积分别减少了10.6%和19%,同时布线长度减少了9.2%。

f38f4280-3bd4-11ee-9e74-dac502259ad0.png

在传统的前端PDN(FSPDN)中,半导体元件必须布置在晶圆的正面,以便提供从电源线到信号线和晶体管的传输。这种配置需要在传输和信号网络之间共享空间和资源,越来越抗的路由以在线路后端堆栈上传输电子,并且可能导致在传输到半导体结构中的接地轨期间的能量损失。

BSPDN 的主要目标是增加单元的功率,这可以改善许多方面的性能。信号长度减少方面得改进得一个很好的例子。缩短信号长度可以实现更好的路由,并且通过电路发出指令时浪费的能量更少。通过缩短信号长度,提高了能源效率。深度复杂的前端信号网络的问题之一是海豚效应,即当信号在信号层上下移动并产生不必要的复杂性时。BSPDN 解决了这个问题。

BSPDN 另一个有趣的方面是小区略有缩小。单元是印刷到晶圆中的晶体管的标准单元,如果您查看以下数据,PowerVia 的单元高度更小,这意味着更好的设计将使晶体管“缩小”。背面接触将把这个提升到一个全新的水平。

BSPDN旨在解决这些架构和供电限制。该方法完全解耦供电和信号网络,并使用晶圆的背面来适应配电。使用晶圆的背面,三星和其他半导体制造商可以通过更短、更宽的线路直接供电,从而提供更小的电阻、更高的供电性能并减少路由拥塞。

虽然从FSPDN到BSPDN的转变听起来很有希望,但仍有一些挑战阻止它成为追求该技术的制造商的标准方法。

f3b165e0-3bd4-11ee-9e74-dac502259ad0.png

三星在研讨会上提出了实施新电力传输模型的最大挑战之一,即与 BSPDN 相关的拉伸强度可能会降低。应用时,BSPDN可以减少拉应力作用和硅通孔电极(TSV),导致与金属层分离。

三星表示,这个问题可以通过降低高度或加宽TSV来解决,但更多在正式宣布解决方案之前,需要进行研究和测试。要成功应用 BSPDN,还需要在信号和电力线连接方面取得更多进步。除了上述之外,还需要在化学机械抛光 (CMP) 技术方面取得进步。当前的 CMP 实施用于从晶圆背面去除 5 至 10 微米的“峰谷”。实施 BSPDN 可能需要一种新的方法来抛光晶圆而不损坏底层功率元件。

三星目前没有概述基于 BSPDN 的架构的正式实施的时间表,但在背面供电领域,另一家制造巨头也已经开始了布局。在 2023 年 VLSI 研讨会上,英特尔展示了制造和测试其背面供电解决方案 PowerVia 的过程,并取得了良好的性能测试结果。英特尔正在大胆下注,在台积电之前采用 PowerVia,通过使用 RibbonFET (他们对 GAA 的改进)来做到这一点。台积电插入 BSPDN 最晚可能会在 2026 年发生,与此同时英特尔希望2024年推出 PowerVia。

f3d2bce0-3bd4-11ee-9e74-dac502259ad0.png

英特尔团队制作了称为 Blue Sky Creek 的测试芯片,该芯片基于英特尔即将推出的 PC 处理器 Meteor Lake 中的能效核 —— 证明 PowerVia 解决了旧方法造成的两个问题。现在电源线和互连线可以分离开来并做得更宽,同时改善供电和信号传输。

对于普通计算机用户来说,这意味着降低能效和提高速度。在降低功耗的情况下更快地完成工作,再次延续摩尔定律的承诺。使用 PowerVia 设计的英特尔能效核实现了 6% 的频率增益和超过 90% 的标准单元利用率,调试时间与 Intel 4 一样,在可接受的范围内。对于仅仅移动电源线来说,这是“巨大”的频率提升。

Intel 20A 将是英特尔首个采用 PowerVia 背面供电技术及 RibbonFET 全环绕栅极晶体管的节点,预计将于 2024 年上半年实现生产准备就绪,应用于未来量产的客户端 ARL 平台,目前正在晶圆厂启动步进(First Stepping)。





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 处理器
    +关注

    关注

    68

    文章

    18304

    浏览量

    222324
  • 半导体技术
    +关注

    关注

    3

    文章

    227

    浏览量

    60513
  • 晶体管
    +关注

    关注

    77

    文章

    9059

    浏览量

    135249
  • CMP
    CMP
    +关注

    关注

    6

    文章

    136

    浏览量

    25671
  • TSV封装
    +关注

    关注

    0

    文章

    12

    浏览量

    2314

原文标题:又一芯片巨头宣布突破背面供电技术

文章出处:【微信号:芯长征科技,微信公众号:芯长征科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    背面供电成先进制程竞争又一技术高地,英特尔先发制人,台积电、三星加码跟进

    的逻辑半导体具有10至15层甚至更多的多层布线,细信号线和粗电源线混合在多层布线中,线路层越来越混乱。为了解决芯片设计线路层混乱的问题,背面供电网络BSPDN技术的应用受到越来越多的关
    的头像 发表于 09-03 00:01 1643次阅读
    <b class='flag-5'>背面</b><b class='flag-5'>供电</b>成先进制程竞争又一<b class='flag-5'>技术</b>高地,英特尔先发制人,台积电、<b class='flag-5'>三星</b>加码跟进

    半导体发展的四个时代

    芯片。技术开始变得民主化、大众化,世界从此变得不一样了。 半导体的第个时代——代工 从本质上来看,第个时代是第二个时代成熟的必然结果。集成电路设计和制造的所有步骤都开始变得相当具
    发表于 03-27 16:17

    半导体发展的四个时代

    芯片。技术开始变得民主化、大众化,世界从此变得不一样了。 半导体的第个时代——代工 从本质上来看,第个时代是第二个时代成熟的必然结果。集成电路设计和制造的所有步骤都开始变得相当具
    发表于 03-13 16:52

    英特尔宣布完成PowerVia背面供电技术的开发

    英特尔在2023年国际电子设备制造大会上宣布,他们已经成功完成了一项名为PowerVia的背面供电技术的开发。这个技术是基于英特尔的最新晶体
    的头像 发表于 12-11 16:10 529次阅读
    英特尔<b class='flag-5'>宣布</b>完成PowerVia<b class='flag-5'>背面</b><b class='flag-5'>供电</b><b class='flag-5'>技术</b>的开发

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    意法半导体工业峰会2023

    ▌峰会简介第五届意法半导体工业峰会即将启程,现我们敬邀您莅临现场,直击智能热点,共享前沿资讯,通过意法半导体核心技术,推动加快可持续发展计划,实现突破性创新~报名链接:https
    发表于 09-11 15:43

    三星电机宣布下一代半导体封装基板技术

    三星电机是韩国最大的半导体封装基板公司,将在展会上展示大面积、高多层、超薄型的下一代半导体封装基板,展示其技术
    发表于 09-08 11:03 285次阅读

    背面供电选项:一项DTCO研究

    来源:IMEC Imec强调了背面供电在高性能计算方面的潜力,并评估了背面连接的选项 背面供电:下一代逻辑的游戏规则改变者
    的头像 发表于 09-05 16:39 518次阅读
    <b class='flag-5'>背面</b><b class='flag-5'>供电</b>选项:一项DTCO研究

    三星半导体与芯驰科技达成车规芯片战略合作

    三星半导体与芯驰科技联合宣布,双方达成长期战略合作关系,加强在车规芯片领域的深度合作。为进一步推动车规半导体的系统集成和适配项目,芯驰科技将在全场景车规芯片的参考方案开发中引入
    的头像 发表于 08-03 17:29 731次阅读

    背面供电与DRAM、3D NAND三大技术介绍

    最近有许多正在全球范围内研究和开发的技术,例如晶体管GAA(Gate All around)、背面供电以及3D IC。
    的头像 发表于 07-26 18:21 2085次阅读
    <b class='flag-5'>背面</b><b class='flag-5'>供电</b>与DRAM、3D NAND三大<b class='flag-5'>技术</b>介绍

    三星2nm,走向背面供电

    背面实施流程已通过成功的 SF2 测试芯片流片得到验证。这是 2nm 设计的一项关键功能,但可能会受到三星、英特尔和台积电缺乏布线的限制,而是在晶圆背面布线并使用过孔连接电源线。
    的头像 发表于 07-05 09:51 502次阅读

    突破氮化镓功率半导体的速度限制

    突破GaN功率半导体的速度限制
    发表于 06-25 07:17

    英特尔PowerVia技术率先实现芯片背面供电突破互连瓶颈

    英特尔宣布在业内率先在产品级测试芯片上实现背面供电(backside power delivery)技术,满足迈向下一个计算时代的性能需求。作为英特尔业界领先的
    的头像 发表于 06-09 20:10 209次阅读

    英特尔PowerVia技术率先实现芯片背面供电突破互连瓶颈

    英特尔率先在产品级芯片上实现背面供电技术,使单元利用率超过90%,同时也在其它维度展现了业界领先的性能。 英特尔宣布在业内率先在产品级测试芯片上实现
    的头像 发表于 06-06 16:22 347次阅读