0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AXI IIP突发写的bug定位如何解决?

全栈芯片工程师 来源:全栈芯片工程师 2023-04-03 09:57 次阅读

生成的IIP代码用AMBA VIP进行仿真,发现突发写应答不符合协议,按照协议,突发写只需要在写完成后,回复一个应答信号即可。

而波形是burst每个写操作响应通道都在应答,且只有第一个应答有正确的ID。

c1da1b88-d14a-11ed-bfe3-dac502259ad0.png

可以看到仿真波形是burst写期间,写通道一直在回复bvalid,而且只有第一个回复的ID是正确的,原因是每写一次resp_fifo就会把bid_fifo的值给清掉。

我们应该改成最后一次写wlast时,才清掉bid_fifo并同时给一次resp信号即可。

c20eb4ce-d14a-11ed-bfe3-dac502259ad0.png

实战MCU+ISP图像处理芯片版图

c2290cca-d14a-11ed-bfe3-dac502259ad0.png

实战ISP图像算法效果

c25dd2ca-d14a-11ed-bfe3-dac502259ad0.png

以项目驱动的方式介绍MCU芯片全流程设计的方法;






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FIFO芯片
    +关注

    关注

    0

    文章

    10

    浏览量

    8745
  • AMBA
    +关注

    关注

    0

    文章

    67

    浏览量

    14812
  • axi协议
    +关注

    关注

    0

    文章

    8

    浏览量

    2075

原文标题:AXI IIP突发写的bug定位

文章出处:【微信号:全栈芯片工程师,微信公众号:全栈芯片工程师】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    ZYNQ & AXI总线 & PS与PL内部通信(用户自定义IP)

    memory-mapped requirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大256轮的数据突发传输;AXI4-Lite:(For simple
    发表于 01-08 15:44

    玩转Zynq连载3——AXI总线协议介绍1

    ● 基于特定地址进行的突发传输●通过独立的读和通道实现低成本直接内存访问(DMA)●支持无序数据传输●提供多级寄存器锁存的支持,实现更好的时序收敛 1.1 AXI版本介绍AXI协议是
    发表于 05-06 16:55

    一份良好的Bug报告

    没错,任何软件都存在bug,哪怕是我们自己也存在缺陷,因为程序员也是普通人,人是会犯错误的。当有人在使用软件时遇到bug,你需要使用邮件形成一份缺陷bug,发送给开发人员。开发者可以依据该报告
    发表于 07-17 08:17

    Vivado中AXI互连的突发模式

    我一直在使用精简版的AXI接口,但我需要使用突发模式来加快传输速度。我不太了解如何更改界面,有人可以告诉我如何在Vivado中更改我的IP以使用突发模式吗?非常感激
    发表于 04-15 07:21

    高级可扩展接口(AXI)简介

    主机和从机用于交换信息。优先级仲裁器确定当前哪个主机使用该总线,而中央解码器执行从机选择。突发执行操作可能需要花费多个总线周期才能完成。每个突发传输都包括一个地址和控制阶段,随后是一个数据阶段。 AXI
    发表于 09-28 10:14

    待机电流过高bug何解

    待机电流过高bug何解
    发表于 10-13 06:42

    何解决semihost的Bug

    何解决semihost的Bug
    发表于 01-25 07:20

    ARM系列 -- AXI协议资料汇总(一)

    。 2、AXI通道之间的关系前面说到AXI的五个通道是独立的,但通道间必须保持一定的约定关系:写回复必须在一次事务的最后一个数据之后读数据必须在接收到读地址信号之后通道间握手必须满
    发表于 04-08 09:34

    AXI接口协议详解

    4:(For high-performance memory-mapped requirements.)主要面向高性能地址映射通信的需求,是面向地址映射的接口,允许最大256轮的数据突发传输;AXI
    发表于 04-08 10:45

    看看Axi4通道decoder的设计

    读写分离的设计在Axi4总线中,读和通道是完全相互独立,互不干扰。故而无论是在设计Decoder还是Arbiter时,均可以采用读写分离的方式。如前文所述,SpinalHDL在基于Axi4总线
    发表于 08-03 14:27

    ARM处理器是否曾经为指令或数据访问生成未对齐的突发

    的开始和结束字节。可以吗?突发的字节必须连续吗?选通脉冲中是否可能有孔,例如0x5、0xa,0x9等?另外,我想知道ARM的AXI大师利用了该功能吗?ARM处理器是否曾经为指令或数据访问生成未对齐
    发表于 08-19 15:43

    AXI接口协议详解

    突发传输;  AXI4-Lite:(For simple, low-throughput memory-mapped communication)是一个轻量级的地址映射单次传输接口,占用很少的逻辑单元
    发表于 10-14 15:31

    高级可扩展接口(AXI)简介

    主机和从机用于交换信息。优先级仲裁器确定当前哪个主机使用该总线,而中央解码器执行从机选择。突发执行操作可能需要花费多个总线周期才能完成。每个突发传输都包括一个地址和控制阶段,随后是一个数据阶段。AXI
    的头像 发表于 09-29 11:44 5590次阅读
    高级可扩展接口(<b class='flag-5'>AXI</b>)简介

    微信突发BUG:无法接收消息

    1月18日消息,话题“微信bug”登上微博热搜。
    的头像 发表于 01-18 15:22 2048次阅读

    Bug定位的过程

    身为测试工程师,总有一道绕不过去的坎就是定位bug,这其实是非常花费时间的。
    的头像 发表于 08-08 16:11 631次阅读