0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Moku 云编译介绍

昊量光电 来源:昊量光电 作者:昊量光电 2022-10-11 09:37 次阅读

Moku Cloud Complie是Moku:Pro上的一项创新功能。Moku是测试工具是基于FPGA的仪器,Moku Cloud Complie允许用户将自定义的VHDL代码部署到Moku,代码可以停提供自定义功能和现有仪器交互,解锁Moku片上仪器的创新和独有的功能。

这个教程知道用户从创建Cloud Complie账户开始到部署一些简单的VHDL示例。在指南结束时,用户将具备编译和部署自定义代码到Moku:Pro的基本知识。

前提条件:
Moku:Pro 需要带有以下功能

Multi-Instrument Mode(MiM)

Moku Cloud Complie (MCC)

如果您的 Moku:Pro 没有 MiM 或 MCC,请通过 sales@liquidinstruments.com 联系 Liquid Instruments 以咨询评估和升级。

概述:

虽然有许多软件语言可以用来为基于 CPU 的设计编写软件,并且这些语言被广泛教授和使用; FPGA 编程仅限于 VHDL 或 Verilog,它们的学习曲线陡峭,而且工具通常很昂贵。此外,可用于部署 VHDL 代码的平台仅限于 FPGA 供应商的评估板或各种功能有限的开源硬件板。

Moku:Pro 与 Moku Cloud Compile 相结合,满足了对具有研究级硬件的高性能实验室仪器的需求,并结合了部署自定义 VHDL 的能力,而无需大量 HDL 编译、合成和路由软件的开销。 MCC 在云端编译用户的客户 VHDL,并通过互联网提供比特流,准备部署到任何支持 MCC 的 Moku:Pro。

poYBAGNEx5OAdvvEAASvg5QEev0912.png

Multi-instrument mode and Cloud Compile
Moku:Pro 多仪器模式 (MiM) 允许同时部署和操作多个仪器。 在最高级别; MiM 提供 4 个插槽,代表 FPGA 的 4 个分区。 用户可以将仪器的灵活排列部署到这些插槽中。图 1 显示了 MiM 接口,具有示波器部署在插槽 1,频谱分析仪部署在插槽 2,而插槽 3 和 4 仍有待填充。 可用的仪器有:PID 控制器、示波器、频谱分析仪、锁相放大器、波形发生器、频率响应分析仪、任意波形发生器和云编译。

poYBAGNEx7CAIUnuAAUJU_Juppw953.png

它是 Cloud Compile 工具,下图中的插槽 4,我们可以在其中部署用户已编译的 VHDL 代码。 MiM 因此使用户的 VHDL 能够与 Moku 仪器进行交互。

poYBAGNEx8CAbT_GAAW4JwcLoAQ246.png

Setting up a Cloud Compile account

在我们可以编译或部署代码到 Moku 之前,我们需要一个在线帐户。 这是一个简单的过程:

在以下位置设置 MCC 用户帐户
首次使用的用户需要选择“注册”
现有的新用户可以通过用户名或电子邮件地址登录,然后输入他们的密码
注册页面只需要用户选择的用户名、有效的电子邮件地址和用户定义的密码。
注册并登录后,您将看到 Projects 页面,该页面最初为空,如图3所示

poYBAGNEx-OAZofBAAH7E0DEGp8875.png

我们的第一个 VHDL 示例之前,我们将配置设备; 选择 Devices 选项卡并进行配置,如图 4 所示。选择一个方便的名称,然后选择 Hardware version、Firmware version 和 No. of slot,如图所示。

poYBAGNEx_yACmq3AAE0t21vpBc535.png

上海昊量光电作为Liquid Instruments公司在中国大陆地区主要的代理商,为您提供专业的选型以及技术服务。对于Moku 云编译有兴趣或者任何问题,都欢迎与我们联系。

审核编辑 黄昊宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • vhdl
    +关注

    关注

    30

    文章

    815

    浏览量

    127684
收藏 人收藏

    评论

    相关推荐

    Moku时间间隔与频率分析仪发布!表征和控制系统应用的全面解决方案

    LiquidInstruments推出Moku3.2版本重大升级,此次升级不仅为Moku设备呈现全新仪器功能:时间间隔与频率分析仪,还可以支持同时打开多个窗口界面控制多个Moku设备,频谱分析仪互相
    的头像 发表于 04-19 08:15 62次阅读
    <b class='flag-5'>Moku</b>时间间隔与频率分析仪发布!表征和控制系统应用的全面解决方案

    OpenHarmony开发学习:【源码下载和编译

    本文介绍了如何下载鸿蒙系统源码,如何一次性配置可以编译三个目标平台(`Hi3516`,`Hi3518`和`Hi3861`)的编译环境,以及如何将源码编译为三个目标平台的二进制文件。
    的头像 发表于 04-14 09:36 391次阅读
    OpenHarmony开发学习:【源码下载和<b class='flag-5'>编译</b>】

    Moku + Apple Vision Pro时空之旅: 探索沉浸式光学实验室体验

    LiquidInstruments今天宣布了又一项重大更新,Moku平台现已与AppleVisionPro完美结合,推出了全新的交互式3D测试系统,为光学研究人员带来了前所未有的“沉浸式”实验室
    的头像 发表于 03-29 08:15 319次阅读
    <b class='flag-5'>Moku</b> + Apple Vision Pro时空之旅: 探索沉浸式光学实验室体验

    使用Moku自定义实时数字滤波器实现降噪与去尖峰

    在本应用笔记中,我们利用Moku编译和多仪器模式来解释常用移动平均滤波器的开发。我们使用示波器和频率响应分析仪来检测有限脉冲响应(FIR)滤波器。然后,我们使用Moku:Pro、MokuGo设备
    的头像 发表于 01-04 08:15 256次阅读
    使用<b class='flag-5'>Moku</b>自定义实时数字滤波器实现降噪与去尖峰

    为什么C语言要进行编译

    时主要分为了4个阶段,每个阶段的具体作用将在接下来进行介绍。 注:预处理中的cpp不是 c plus plus,而是c pre-process Part1预处理 命令:gcc -E hello.c -o hello.i 编译过程的第一步预就是预处理,预处理结束后会产生一个
    的头像 发表于 11-24 15:47 455次阅读
    为什么C语言要进行<b class='flag-5'>编译</b>

    Moku 3.1版本升级!Moku:Lab、Moku:Pro新增支持逻辑分析仪

    Moku:Lab、Moku:Pro新增支持逻辑分析仪多仪器并行模式支持同时多窗口界面交互!LiquidInstruments宣布发布Moku3.1版本重要升级。此次更新对Moku:Pr
    的头像 发表于 11-03 08:16 207次阅读
    <b class='flag-5'>Moku</b> 3.1版本升级!<b class='flag-5'>Moku</b>:Lab、<b class='flag-5'>Moku</b>:Pro新增支持逻辑分析仪

    使用Moku:Pro同时实现窄线宽激光系统的锁定和表征应用案例

    使用Moku:Pro同时实现窄线宽激光系统的锁定和表征应用案例利用Moku:Pro的多仪器并行模式,用户可以使用激光锁频/稳频器将激光锁定到光学腔,无需额外的测试设备或布线又能同时使用频率响应
    的头像 发表于 10-26 08:16 332次阅读
    使用<b class='flag-5'>Moku</b>:Pro同时实现窄线宽激光系统的锁定和表征应用案例

    瑞芯微RK3568|SDK开发之Kernel编译

    本文介绍了如何使用Kernel手动编译1.1版本,并提供了详细的编译命令和过程。编译过程包括进入Kernel目录、选择默认配置文件和编译镜像
    的头像 发表于 09-26 17:21 877次阅读
    瑞芯微RK3568|SDK开发之Kernel<b class='flag-5'>编译</b>

    跨平台编译工具cmake的简单使用

    在使用不同平台的电脑时,使用的编译也会不一样,如果想把一个项目在不同平台下编译,那有什么方式可以实现这样的需求呢,这就是这篇文章就来介绍其中的一种方式,就是使用cmake,cmake 并不直接建构出最终的软件,而是产生标准的建构
    发表于 08-14 10:40 478次阅读
    跨平台<b class='flag-5'>编译</b>工具cmake的简单使用

    【应用案例】Moku:Pro简化双色受激拉曼散射显微镜实验

    或两个相距较远的拉曼跃迁的同步成像。现在,他们正在使用Moku:Pro锁相放大器和多仪器并行模式,仅通过Moku:Pro一台紧凑的多通道设备进行多种实验并捕捉低强度的SRS信号。
    的头像 发表于 06-21 13:44 281次阅读
    【应用案例】<b class='flag-5'>Moku</b>:Pro简化双色受激拉曼散射显微镜实验

    【重磅发布】Moku 3.0版本升级!Moku:Lab新增支持云编译、多仪器并行

    Liquid Instruments 推出Moku 3.0 版本重要升级。此次更新将首次对Moku全线三款产品同时升级,尤其很多客户期待已久的Moku:Lab的固件升级。通过此次升级,Moku
    的头像 发表于 06-19 16:37 422次阅读

    Moku编译+ChatGPT:客户定制化需求的天花板

    Moku:pro不仅能够解决大多数电学信号的测试和极具难度的测量实验,而且能够支持尖端实验,并能在设计具有独特要求的先jin产品时,具有优xiu的表现。
    的头像 发表于 06-12 14:08 209次阅读
    <b class='flag-5'>Moku</b>云<b class='flag-5'>编译</b>+ChatGPT:客户定制化需求的天花板

    【应用案例】Moku:Pro简化双色受激拉曼散射显微镜实验

    应用案例Moku:Pro简化双色受激拉曼散射显微镜实验介绍在华盛顿大学,研究人员致力于双色受激拉曼散射(SRS)显微镜技术研究开发化学成像工具,用于早期癌症检测和了解神经退行性疾病进展。实验装置通常
    的头像 发表于 05-31 09:42 251次阅读
    【应用案例】<b class='flag-5'>Moku</b>:Pro简化双色受激拉曼散射显微镜实验

    介绍下Verilog系统完整的8种编译指令

    以反引号(`)开始的某些标识符是 Verilog 系统编译指令。编译指令为 Verilog 代码的撰写、编译、调试等提供了极大的便利。
    的头像 发表于 05-29 16:43 1166次阅读

    VCS编译选项:-y及+libext+

    VCS是一款常见的Verilog编译工具,它提供很多编译选项来控制编译过程及其输出。本文主要介绍以下两个编译选项。
    的头像 发表于 05-29 14:46 7659次阅读