0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

汽车领域还未出现Chiplet设计

佐思汽车研究 来源:佐思汽车研究 作者:佐思汽车研究 2022-08-30 14:44 次阅读

Chiplet有翻译成小芯片或小晶粒,也有叫MCM(Multi-Chip-Module,可以看做初级版Chiplet),与之对应的则是Monolithic。目前为止,汽车领域还未出现Chiplet设计。

Chiplet的出现有三个驱动力,一个是AI运算中的内存墙,一个是高性能运算,最后是灵活性和复用率。

AI运算中存储瓶颈非常明显,AI运算有大量的内存读写问题,内存读取速度远远低于计算单元的速度,大部分时间计算单元都在等待内存读取,有时候效率会下降90%,最有效解决内存墙问题的办法就是缩短运算单元与存储器之间的物理距离,在每秒万亿次计算时,几微米的距离缩短都足以影响芯片性能。除了缓解内存瓶颈外,还能降低功耗减少发热。

各种技术存储器的性能对比

357443ea-2816-11ed-ba43-dac502259ad0.png

来源:互联网

上表很明显,SRAM性能最优,但Cell Size最大,这意味着成本也最高,是NAND的20倍以上。因此一级缓存多SRAM,并且容量很小。PCMMRAMReRAM这三种新兴存储器目前还不成熟,性能与SRAM也有明显差距。这也是为什么处理器都是三级缓存设计,最靠近运算单元的都是SRAM,但由于成本高,所以容量有限。离运算单元远的就可以是DRAM

为解决这个问题,台积电提出了CoWoS封装,将大容量的DRAM与运算单元距离拉得最近,而成本又在可接受的范围内,这就是最早的Chiplet。

35845096-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

CoWoS简单说就是用硅中介层将逻辑运算器件与DRAM(HBM)合成一个大芯片,CoWoS缺点就是中介层价格太高,对价格敏感的手机和汽车市场都不合适,不过服务器和数据中心市场非常合适,因此台积电几乎垄断高性能AI芯片市场。

35993cb8-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

华为昇腾910的裸晶面积高达1228平方毫米,两个假Die只是为了增加机械一致性,是空的,这也是台积电CoWoS工艺的缺点,如果是英特尔的EMIB,这两个假Die可以不要。

华为昇腾910的外观

35b7c70a-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

第二个驱动力是高性能运算,无论是AI运算还是常规标量运算,增加核心数都是最有效最可行的方法,但是芯片面积不能无限增大,芯片面积越大意味着良率越低,成本越高。半导体业内有一条不成文的共识,单一芯片的裸晶面积不超过800平方毫米,超过800平方毫米,成本会飞速增加,不具备实用性。这也是为何英伟达的芯片都那么贵的原因。

35ccc61e-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

上图可以看出,单一芯片的面积越大,其良率就越低,成本就越高。

35e0491e-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

典型的是AMD的32核(应该是32核小芯片)EPYC,这种方式最大优点是成本低,如果将32核封装到一块芯片中成本是1,那它们的MCM(Chiplet)方式只有0.59,换言之,节省了41%的成本。

通常16核是个分水岭,16核以上的采用Chiplet才更有优势。16核以下,Monolithic更占优势。

GPU方面,英伟达下一代GPU会使用初级版的Chiplet即MCM。而AMD在2022年8月底就会推出第三代RNDA GPU,采用Chiplet技术,性价比会远高于英伟达的GPU,英伟达明显落后AMD,AMD市值超越英特尔主要原因并非CPU,而是AMD足以挑战英伟达在GPU领域的统治地位。

基本上4096核心(流处理器,英伟达叫SM或CUDA核)是个分水岭,4096以下Monolithic更占优势,4096核以上Chiplet优势明显。

第三是灵活性和IP复用率。

36060230-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

上图是华为的Chiplet搭配,就像积木自由搭配,降低开发成本,减少开发周期,提高IP复用率。

36173816-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

英特尔的CPU设计,性能核P核,效率核E核,可以灵活调整其数量,一个设计可以针对无数种市场需求。这里不仅是设计上IP复用率,实际物理die也可以,只需要生产标准的die,产品由这些die物理拼凑胶合而成,大大节约了成本,便于生产管理和库存管理。

Chiplet有没有可能用在汽车领域?显然除了自动驾驶或座舱SoC外,Chiplet绝无容身之地。自动驾驶或座舱SoC领域目前只有三家即英伟达、高通和英特尔(Mobileye),或许还可以加上三星。英伟达明确不会使用Chiplet,只不过下一代GPU可能使用MCM。高通的核心是手机市场,车载和笔记本电脑都是手机的延伸,手机领域是绝无可能用Chiplet的,因为Chiplet的封装基板面积巨大,根本塞不进手机。英特尔旗下的Mobileye倒是有这个可能。不过鉴于Mobileye独立性很强,这个可能性不高。

Chiplet对中国厂家友好度很低,能做Chiplet的基本只有英特尔和台积电,三星能做最初级的封装HBM的芯片,再进一步的Chiplet完全不能胜任。今年3月,以下科技巨头成立了UCIe联盟,包括中国台湾日月光(全球第一大芯片封装厂家)、中国台湾台积电、微软、谷歌云、Meta、高通、三星、AMD、ARM、英特尔,此外,英伟达和阿里巴巴也刚加入。

362ffaa4-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

鉴于美国刚刚通过的芯片方案,这12大厂家除阿里外都是受益者,特别是三星、台积电和英特尔。

实际这个UCIe是英特尔主导的,就是CXL的翻版,Chiplet最难的部分是缓存一致性问题。围绕缓存一致性出现了多个标准,有以IBM牵头的OpenCAPI,ARM为代表支持的CCIX,英特尔为代表的CXL,AMD为代表的Gen-Z。CCIX(Cache Coherent Interconnect for Accelerators,针对加速器的缓存一致性互联)联盟是由AMD、ARM、Mellanox、华为、赛灵思、高通六家巨头公司成立的标准化组织。

Compute Express Link简称CXL,2019年3月由英特尔牵头成立。

CXL的顶级会员包括AMD、阿里、ARM、思科、戴尔、谷歌、惠普、华为、IBM、英特尔、Meta、微软、英伟达、Rambus、Xilinx。CXL协议包括三个子协议:CXL. io 是IO类型,与传统PCIe类似,CXL.cache 允许设备访问主存和cache,CXL.memory 允许CPU访问设备的内存。

UCIe分层

3698905a-2816-11ed-ba43-dac502259ad0.png

图片来源:互联网

UCIe主要包括协议层(Protocol Layer)、适配层(Adapter Layer)和物理层(Physical Layer)。

UCIe协议层支持已经广泛使用的协议PCIe6.0、CXL2.0、CXL3.0,还支持用户自定义的Streaming 协议来映射其他传输协议,协议层把数据转换成Flit包进行传输。用户通过用UCIe的适配层和PHY来替换PCIe/CXL的PHY和Link重传功能,就可以实现更低功耗和性能更优的Die-to-Die互连接口。

适配层在协议层和物理层中间,当协议层有多个协议同时工作时,ARB/MUX用来在多个协议之间进行选择和仲裁。协议层提供CRC和Retry机制以获得更好的BER(BitError Rate)指标。同时负责Link状态的管理,与对端UCIe Link进行协议相关参数的交换。

物理层主要用来解析Flit包在UCIe Data Lane上进行传输,主要包括Link Training、LaneRepair、Lane Reversal、Scrambling/De-scrambling、Sideband Training等。

UCIe支持两种封装,Standard Package (2D) 和Advanced Package (2.5D)。StandardPackage主要用于低成本、长距离(10mm到25mm)互连,Bump间距要求为100μm到130μm,互连线在有机衬底上进行布局布线即可实现Die间数据传输。基本上先进封装被台积电和英特尔垄断。UCIe表面上是开放的,实际是台积电和英特尔操控的。

短期内恐怕看不到Chiplet在汽车领域的应用,如果有的话,AMD或许是第一个。


审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47804

    浏览量

    409173
  • 存储器
    +关注

    关注

    38

    文章

    7151

    浏览量

    162002
  • chiplet
    +关注

    关注

    6

    文章

    379

    浏览量

    12418

原文标题:Chiplet会用在汽车芯片上吗?

文章出处:【微信号:zuosiqiche,微信公众号:佐思汽车研究】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Chiplet是否也走上了集成竞赛的道路?

    Chiplet会将SoC分解成微小的芯片,各公司已开始产生新的想法、工具和“Chiplet平台”,旨在将这些Chiplet横向或纵向组装成先进的SiP(system-in- package)形式。
    的头像 发表于 02-23 10:35 247次阅读
    <b class='flag-5'>Chiplet</b>是否也走上了集成竞赛的道路?

    什么是Chiplet技术?

    什么是Chiplet技术?Chiplet技术是一种在半导体设计和制造中将大型芯片的不同功能分解并分散实现在多个较小和专用的芯片(Chiplets)上的方法。这些较小的芯片随后通过高速互连方式集成到一个封装中,共同实现全功能的芯片系统。
    的头像 发表于 01-25 10:43 543次阅读
    什么是<b class='flag-5'>Chiplet</b>技术?

    Chiplet技术对英特尔和台积电有哪些影响呢?

    Chiplet,又称芯片堆叠,是一种模块化的半导体设计和制造方法。由于集成电路(IC)设计的复杂性不断增加、摩尔定律的挑战以及多样化的应用需求,Chiplet技术应运而生。
    的头像 发表于 01-23 10:49 389次阅读
    <b class='flag-5'>Chiplet</b>技术对英特尔和台积电有哪些影响呢?

    什么是Chiplet技术?Chiplet技术有哪些优缺点?

    Chiplet技术是一种将集成电路设计和制造的方法,其中一个芯片被分割成多个较小的独立单元,这些单元通常被称为“chiplets”。每个chiplet可以包含特定的功能块、处理器核心、内存单元或其他
    的头像 发表于 01-08 09:22 1697次阅读

    芯原股份募资18亿,投向AIGC及智慧出行Chiplet领域

    通过Chiplet技术的发展,芯原股份不仅能够发挥他们在先进芯片设计能力和半导体IP研发方面的优势,同时结合他们丰富的量产服务及产业化经验,进而拓展半导体IP授权业务,成为Chiplet供应商,提升公司的IP复用性,降低客户的设计花费和风险
    的头像 发表于 12-25 09:52 253次阅读

    国内的机器视觉技术的水平怎样?

    董霄剑:早在2015年,AlphaGo还未出现,我就敏锐感觉到人工智能和机器人领域的巨大潜力,因此决定投身机器人行业。
    发表于 12-21 15:28 154次阅读
    国内的机器视觉技术的水平怎样?

    弯道超车的Chiplet与先进封装有什么关联呢?

    Chiplet也称芯粒,通俗来说Chiplet模式是在摩尔定律趋缓下的半导体工艺发展方向之一,是将不同功能芯片裸片的拼搭
    发表于 09-28 11:43 708次阅读
    弯道超车的<b class='flag-5'>Chiplet</b>与先进封装有什么关联呢?

    chiplet和cowos的关系

    chiplet和cowos的关系 Chiplet和CoWoS是现代半导体工业中的两种关键概念。两者都具有很高的技术含量和经济意义。本文将详细介绍Chiplet和CoWoS的概念、优点、应用以
    的头像 发表于 08-25 14:49 2314次阅读

    chiplet和cpo有什么区别?

    chiplet和cpo有什么区别? 在当今的半导体技术领域,尺寸越来越小,性能越来越高的芯片成为了主流。然而,随着芯片数量和面积的不断增加,传统的单一芯片设计面临了越来越多的挑战。为了应对这些挑战
    的头像 发表于 08-25 14:44 1634次阅读

    几种Chiplet技术对比?为何高算力领域没有真正的Chiplet

    如果需要高算力密度的Chiplet设计,就必须用2.5D或3D封装,尽管英特尔的EMIB价格远低于台积电的CoWoS,但除了英特尔自己,没有第三方客户使用,主要原因是英特尔做晶圆代工刚起步,经验不够
    发表于 08-18 11:45 1821次阅读
    几种<b class='flag-5'>Chiplet</b>技术对比?为何高算力<b class='flag-5'>领域</b>没有真正的<b class='flag-5'>Chiplet</b>?

    Chiplet的验证需求有哪些变化?

    Chiplet(芯粒)已经成为设计师的战略资产,他们将其应用于各种应用中。到目前为止,Chiplet的验证环节一直被忽视。
    的头像 发表于 07-26 17:06 601次阅读

    Chiplet关键技术与挑战

    半导体产业正在进入后摩尔时代,Chiplet应运而生。介绍了Chiplet技术现状与接口标准,阐述了应用于Chiplet的先进封装种类:多芯片模块(MCM)封装、2.5D封装和3D封装,并从技术特征
    的头像 发表于 07-17 16:36 842次阅读
    <b class='flag-5'>Chiplet</b>关键技术与挑战

    汽车行业下一个流行趋势,chiplet

    Chiplet是一个小型IC,有明确定义的功能子集,理论上可以与封装中的其他chiplet结合。Chiplet的最大优势之一是能够实现“混搭”,与先进制程的定制化SoC相比成本更低。采用chi
    的头像 发表于 06-20 09:20 532次阅读
    <b class='flag-5'>汽车</b>行业下一个流行趋势,<b class='flag-5'>chiplet</b>?

    基于Chiplet方式的集成3D DRAM存储方案

    新能源汽车、5G、可穿戴设备等领域的不断发展,对芯片性能的需求越来越高,采用先进封装技术的 Chiplet 成为了芯片微缩化进程的“续命良药”。
    发表于 06-14 11:34 410次阅读
    基于<b class='flag-5'>Chiplet</b>方式的集成3D DRAM存储方案

    芯华章浅谈eda、Chiplet等新型技术趋势

    从传统的E/E架构到跨域融合,再到中央集成式域控制器,基于单SoC芯片的舱驾融合方案已成为当前的重点研发方向。芯粒(Chiplet)技术的出现,为通过架构创新实现算力跨越以及打造平台化智能汽车芯片提供了技术通道。
    发表于 05-25 14:58 203次阅读
    芯华章浅谈eda、<b class='flag-5'>Chiplet</b>等新型技术趋势