0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于EUV技术,制程工艺演进到intel4,激发千倍算力怎么做?英特尔宋继强揭示前沿半导体技术创新路径

章鹰观察 来源:电子发烧友原创 作者:章鹰 2022-08-09 08:28 次阅读
电子发烧友网报道 文/章鹰)2022年,中国算力建设达到了一个高潮。2月17日,国家发改委、中央网信办、工信部、国家能源局联合印发文件,统一在京津冀、长三角、粤港澳大湾区、成渝、内蒙古、贵州、甘肃、宁夏等8地全面启动国家算力枢纽节点建设项目,并规划了10个国家数据中心集群。至此,全国一体化大数据中心体系完成总体布局设计,“东数西算”工程正式全面启动。“东数西算”战略的本质是要解决算力和存力资源不均衡的问题,数据中心高效的核心就是算力和数据的协同调度。

2022年7月30日,英特尔研究院副总裁、英特尔中国研究院院长宋继强博士出席了由中国计算机学会主办的第一届“中国计算机学会芯片大会”,并发表了题为“坚持半导体底层技术创新,激发算力千倍级提升”的主题演讲。

“中国的数字化转型进程走得很快,有些领域位于世界前列。根据IDC的报告,数字经济增长十分依赖底层基础设施支持,包括计算能力、计算效率,如何把目前行业的传统做法通过数字化技术来更新,以及智能化技术的应用,都会对数字经济的增长带来量和质的变化。” 宋继强表示,“未来数据形态多种多样,从实时性和智能处理上都必须跟上应用领域的低延时、高速率需求,传统的单一计算架构肯定会碰到性能和功耗的瓶颈。”

如何突破算力的瓶颈?如何让不同架构的处理器硬件架构布局上发挥最大协同作用?从终端侧、到边缘再到服务器,如何对不同级别的硬件进行加速?英特尔研究院副总裁、英特尔中国研究院院长宋继强博士带来了前沿的观察和解读。

异构计算和异构集成两大协同 突破算力瓶颈有路径

在半导体的发展历程中,摩尔定律起到了关键作用,一直以来摩尔定律都带来了性能的显著提升,但现在增速在减缓,平均每3年密度才增加1倍,每3.6年能效增长1倍。

中国半导体行业协会集成电路设计分会理事长、清华大学教授魏少军曾指出,摩尔定律已经走到5纳米,很快3纳米可能也会进入量产,2纳米已经开始研发。相信在未来的十年当中,今天以COMS(基于互补金属氧化物半导体的技术)为基础的摩尔定律大概率就会走到尽头。靠目前的技术要想延续摩尔定律难度非常大。因此工业界一定会寻找一种新的技术发展方向。国际上,英特尔正在牵头制订Chiplet技术标准。现在的智能芯片,特别人工智能芯片,将大量采用“三维混合键合”技术,把计算存储单元键和在一起。

宋继强博士指出,突破算力的第一步,就是通过不同的方式解决多样化数据的计算有效性;第二、在算力提升的时候,需要考虑到绿色计算,如何以能量优化的方式去解决未来的数据处理。提升算力和降低能耗之间的矛盾如何解决?就是通过异构计算+异构集成的方式。


异构计算就是用不同的架构处理不同类型的数据,真正做到“用好的工具解决好的问题”。异构集成又可以帮助我们用更好的集成组合方式,把不同工艺下优化好的模块更好地集成到未来的解决方案当中,从而更加高效地处理复杂计算。

宋继强表示,建立完整的异构计算体系需要软硬件结合,在硬件上,需要“全面发展”,有不同的架构积累,在软件上,也需要有一套方便且好用的软件,只需上层应用者指定功能需求,下层就可以随着异构变化。具体到英特尔自身的异构计算布局,表现为“XPU+oneAPI”。

这个架构既有非常全面的硬件架构布局,覆盖从终端到边缘再到服务器,在CPUGPU、IPU、FPGAAI加速器等领域,都有具有代表性的成熟产品,又有oneAPI这一开放统一的跨架构编程模型,让现有的和未来将出现的新硬件都能很好地发挥能力。oneAPI也在全球积极开展各项合作,去年还和中科院计算所联合建立了中国首个oneAPI卓越中心。

实现异构集成 英特尔两大技术支持

实现异构计算通常需要将不同制程节点的芯片封装在同一个大封装里,这时就需要应用异构集成,也就是先进封装技术,来满足尺寸、成本、带宽等方面的要求。

宋继强分析说,实现异构集成,英特尔目前有两项关键技术EMIB和Foveros。EMIB(嵌入式多芯片互连桥接)2.5D封装技术。在这个技术框架下,英特尔可以把在平面上集成起来的芯片做很好的连接,可以把它们之间的凸点间距有效降低到50微米以下,未来有可能继续降低到45微米、30多微米这个层面。二、3D封装的发展。Foveros是英特尔提供的一项业界领先的技术,可以帮助我们把不同计算的芯粒在垂直层面上进行封装。通过更高级的封装层面的微缩技术,我们已经可以把封装凸点的间距降到36微米,未来还可以继续降到20微米和10微米以下。封装层级的连线密度就已经非常高了,并且速度也可以逐步接近在芯片里面连线的速率。

宋继强博士进一步补充说,为了推进摩尔定律,英特尔率先使用了下一代基于高数值孔径的极紫外光刻机(EUV),可以进一步降低制程工艺的复杂度和提升芯片良率。他强调说,在Intel 20A节点的时候,会开始产品化地使用RibbonFET这一新的晶体管结构。在晶体管供电方面,英特尔会在Intel 20A通过PowerVia技术实现底部给所有上层的功能逻辑部件供电,把供电层和逻辑层完全分开,可以更有效地使用金属层,对绕线和能量消耗的减少而言都有很大的提高。

据悉,英特尔迄今为止最复杂的高性能计算SoC Ponte Vecchio就运用了英特尔在异构计算和异构集成上的新技术,集成了来自5个不同制程节点的47种不同晶片,而下一代旗舰级数据中心GPU代号Rialto Bridge将进一步大幅提高计算密度、性能和效率,同时通过oneAPI提供软件一致性。

坚持摩尔定律,英特尔制程工艺路线图推进一览

宋继强表示,英特尔的制程工艺革新主要包括以下三大技术:在工具上,英特尔将自Intel 4开始使用下一代基于高数值孔径的极紫外光刻机(EUV)技术,降低整个制程工艺的复杂度,提高良率;在晶体管结构上,Intel 20A将使用全新的RibbonFET结构,进一步降低平面上晶体管所占面积,同时可以有更快的驱动速度,也增加驱动电流的强度;在供电层面,Intel 20A同样将启用全新的PowerVia技术,实现底部给所有上层功能逻辑部件供电,把供电层和逻辑层完全分开,从而可以更有效地使用金属层,大幅减少绕线和能量消耗。

在路线图方面,英特尔计划在四年内推进五个制程节点:Intel 7已经开始批量出货;Intel 4将于今年下半年投产,采用EUV技术,将晶体管的每瓦性能将提高约20%;Intel 3将于2023年下半年投产,在生产过程当中会更大量地使用EUV,在每瓦性能上实现约18%的提升;Intel 20A预计将于2024年上半年投产,通过RibbonFET和PowerVia这两项技术在每瓦性能上实现约15%的提升;最后,Intel 18A预计将于2024年下半年投产,在每瓦性能上将实现约10%的提升。宋继强表示,目前英特尔在Intel 18A和Intel 20A上都取得了不错的进展。

芯片制造的工艺节点从3纳米再向下发展时,现在的FinFET技术股沟用,环绕式栅极晶体管(GAAFET)隆重登场。英特尔一直在推进CMOS晶体管3D堆叠层面的研究,它会直接贡献到GAA的RibbonFET产品技术当中,通过堆叠CMOS晶体管能够实现30%到50%的微缩。在晶体管层面上继续做微缩,这一点非常重要。在做到Intel 20A、Intel 18A之后,如何选择新的材料做它的接触层、构造一些沟道,这也很重要,可以进一步提升晶体管的效能。

面向未来十年,三大前沿计算布局

宋继强博士介绍说,英特尔确立了未来十年布局的前沿计算布局,包括组件研究、神经拟态计算和集成光电。英特尔在这三大领域都有了一些关键进展。组件研究是英特尔整个生产、制造、研发部门的一项重要工作,在整个半导体研发学术圈也非常活跃。

在组件研究领域,英特尔围绕微缩技术、新材料和量子器件三方面展开。首先,英特尔提供提供更多的核心微缩技术,涵盖混合键合(hybrid bonding)技术、CMOS晶体管3D堆叠技术和对晶体管新材料的探索;其次,通过叠加新的晶体管材料和结构,给硅晶体管注入新的功能,包括增强模式的高K氮化镓晶体管和硅FinFET晶体管的组合技术,以及反铁电体材料的嵌入式内存;还有,利用全新的量子效应做一些器件,包括应用在逻辑计算的磁电自旋电子器件,磁畴壁电子器件和300毫米量子比特制程工艺流程。

现在英特尔基于硅的生产工艺非常兼容的技术构造量子比特,这种量子比特都是通过量子阱技术构造里面硅的电子自旋,通过控制自旋的方向,成为量子比特,能够组合起来做大规模的量子计算。

宋继强指出,未来神经拟态计算是非常重要的一个方向,神经拟态计算的好处是它可以在算法层级和硬件结构设计层级上完全突破现在这种靠堆乘加器的方式来提供算力的模式,而是模拟人类神经元的形式去构造其中底层的计算单元,且大部分是存算一体化。英特尔的Loihi就是这个方向上代表性的实验芯片,现在已经发展到了Loihi 2。Loihi 2是在Intel 4制程工艺上生产出来的,速度比上一代提升了10倍,单个芯片里的神经元数量也提升了8倍。

以前英特尔将768块Loihi神经拟态研究芯片集成在5台标准服务器大小的机箱中,集成为一个数据中心机架式系统---Pohoiki Springs,有一亿的神经元。而现在Loihi 2有了8倍神经元数量的提升,且面积缩小了一半,所以我们可以看到三年来整个设计加上工艺已经达到了16倍的容量和计算比的提升。

关于这种神经拟态芯片商业化的挑战,宋继强认为主要有两点:一、芯片到底怎么去生产或者设计。英特尔的Loihi完全是基于标准库,基于数字电路设计,这些都是很容易做产品化的,所以英特尔神经拟态计算在硬件的产品化方面没有太大的障碍;二、Loihi 2真正铺开变成一个流行产品的障碍是在软件,因为它是一个全新的架构,还没有太多人学会好好地用。现在社区当中有不少开发者可以在底层去构造自己的脉冲神经网络算法,并且通过底层的库存把软件“烧”进硬件做试验,而这显然不是规模化的方案。

本文由电子发烧友原创,转载请注明以上来源。微信号zy1052625525。需入群交流,请添加微信elecfans999,投稿爆料采访需求,请发邮箱huangjingjing@elecfans.com。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 英特尔
    +关注

    关注

    60

    文章

    9421

    浏览量

    168806
  • 摩尔定律
    +关注

    关注

    4

    文章

    622

    浏览量

    78520
  • EUV
    EUV
    +关注

    关注

    8

    文章

    577

    浏览量

    85578
  • 量子计算
    +关注

    关注

    4

    文章

    957

    浏览量

    34330
收藏 人收藏

    评论

    相关推荐

    英特尔完成高数值孔径EUV光刻机,将用于14A制程

    半导体设备制造商阿斯麦(ASML)于去年底在社交媒体上发布照片,揭示已向英特尔提供第一套高数值孔径EUV系统的关键部件。如今英特尔宣布已完成
    的头像 发表于 04-19 10:07 236次阅读

    Intel Foundry:2030成为全球第二大半导体制造代工厂!

    英特尔英特尔代工厂(Intel Foundry)的首次亮相举行了名为Intel Direct Connect的开幕活动,英特尔在活动中全面
    的头像 发表于 03-15 14:55 345次阅读

    英特尔推出面向AI时代的系统级代工,并更新制程技术路线图

    英特尔公司近日宣布,将推出全新的系统级代工服务——英特尔代工(Intel Foundry),以满足AI时代对先进制程技术的需求。这一举措标志
    的头像 发表于 02-23 18:23 1070次阅读

    英特尔登顶2023年全球半导体榜单之首

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月01日 11:55:16

    萨科微slkor金航标kinghelm一直在技术上不断创新,并将这些新技术应用于公司的产品中,推出的新产品

    说,萨科微slkor金航标kinghelm一直在技术上不断创新,并将这些新技术应用于公司的产品中,推出的新产品,这让我们比同行发展快一
    发表于 01-31 11:38

    论道之华强北硬件创新(四十)

    介绍说,金航标kinghelm(www.kinghelm.com.cn)萨科微slkor(www.slkormicro.com)半导体总部设在中国广东省深圳市,以碳化硅等新材料、新工艺、新产品驱动
    发表于 01-10 10:46

    英特尔CEO:“四年五个制程节点”进展正在得到第三方肯定

    节点”计划,即通过在(当时的)未来四年内推进Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A五个
    的头像 发表于 11-10 17:48 259次阅读

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    英特尔宣布Intel 4已大规模量产,“四年五个制程节点”计划又进一步

    近日,英特尔宣布已开始采用极紫外光刻(EUV技术大规模量产(HVM)Intel 4制程节点。Intel
    的头像 发表于 10-13 21:20 314次阅读

    英特尔宣布Intel 4已大规模量产,“四年五个制程节点”计划又进一步

    近日,英特尔宣布已开始采用极紫外光刻(EUV技术大规模量产(HVM)Intel 4制程节点。Intel
    的头像 发表于 10-13 15:57 238次阅读

    2023英特尔on技术创新大会:英特尔研究院展示多项技术“魔法”

    英国著名科幻小说家阿瑟·克拉克(《2001:太空漫游》)有言:“任何先进的技术,初看都与魔法无异。”在英特尔这家巨大的半导体公司的内部,有一批人正在专注于此,即用新颖的方法,在广泛的前沿
    的头像 发表于 09-26 17:25 284次阅读
    2023<b class='flag-5'>英特尔</b>on<b class='flag-5'>技术创新</b>大会:<b class='flag-5'>英特尔</b>研究院展示多项<b class='flag-5'>技术</b>“魔法”

    英特尔发布首款基于Intel4的处理器——Meteor Lake

    美国半导体巨头英特尔在9月19日举办的年度创新峰会上发布了最新的PC处理器Meteor Lake,这是英特尔首款采用Intel 4
    的头像 发表于 09-20 16:54 1248次阅读

    英特尔新处理器曝光,先进技术Intel 7制程

    目前,英特尔量产的最先进技术Intel 7制程,比前一代Intel 10的SuperFin制程
    发表于 09-08 15:28 814次阅读

    新思科技与英特尔扩大战略合作,以关键IP组合赋能Intel 3/18A先进制程

    战略合作伙伴关系之上; 新思科技与英特尔近日共同宣布,双方已经达成一项最终协议,通过为英特尔代工客户开发针对Intel 3和Intel 18A制程
    的头像 发表于 08-18 15:10 401次阅读
    新思科技与<b class='flag-5'>英特尔</b>扩大战略合作,以关键IP组合赋能<b class='flag-5'>Intel</b> 3/18A先进<b class='flag-5'>制程</b>

    半导体企业如何决胜2023秋招?

    管理协会创始会员及《第一资源》智库专家。同时拥有在英特尔、泰克科技等多家知名科技企业20余年人力资源管理经验(包括十年以上的亚大区管理经验近5年在半导体行业深耕,参与半导体才智大会芯雇主评选及人
    发表于 06-01 14:52