0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技Fusion Design Platform率先获得三星晶圆厂4LPP工艺认证

新思科技 来源:新思科技 作者:新思科技 2021-12-24 14:23 次阅读

新思科技Fusion Design Platform和Custom Design Platform率先获得三星晶圆厂(以下简称为“三星”)4LPP工艺认证。作为三星全面技术路线图的一部分,4LPP工艺旨在协助芯片厂商设计和交付速度更快、功耗更低的芯片。

新思科技3DIC Compiler已获得三星多裸晶芯片集成 (MDI) 流程验证。MDI流程集成了4LPP工艺先进技术,可提高多达数千亿个晶体管的扩展性。

面向4LPP工艺的新思科技DesignWare IP具有低延迟、低功耗和高带宽的优势,可降低集成风险。

新思科技(SNPS)近日宣布,其完整的EDA流程已获得三星全新4LPP(4纳米低功耗+)工艺认证。4LPP是三星独特FinFET技术的全新工艺,能够提升芯片密度和性能、减少功耗,为当前高需求的应用(包括高性能计算、AI5G基础设施)提供支持。

经三星4LPP工艺认证的新思科技解决方案包括完整的数字、模拟、混合信号实施以及签核流程。此外,新思科技与三星的合作还包括在三星多裸晶芯片集成(MDI)流程中采用新思科技3DIC Compiler解决方案,MDI流程已经在4LPP技术上得到了验证。3DIC Compiler是完整覆盖从初步规划到签核的3D解决方案,可处理包含数千亿晶体管的复杂性,并推动功耗、性能和面积(PPA)方面的优化。新思科技同时在开发面向4LPP工艺的DesignWare 基础IP和接口IP的产品组合,为开发者在该工艺上开发的芯片提供低延迟、高带宽和低功耗的解决方案。

“三星很高兴能与新思科技密切合作,为我们的4LPP工艺提供完整的EDA流程。在三星持续推进全新技术路线图(例如即将推出的3nm全环栅工艺)的过程中,新思科技是可信赖的理想合作伙伴,能够与我们携手前行,不断推动新工艺节点的演进和采用。”

Sangyun Kim

三星电子晶圆厂设计技术团队副总裁

三星SAFE-QEDA计划旨在降低采用新工艺节点的风险,而新思科技是首家通过SAFE-QEDA计划并获得4LPP工艺全流程认证的三星EDA合作伙伴,双方的合作将协助客户降低采用新工艺的风险和成本并缩短周转时间。

“我们与三星的密切合作将继续加速技术演进,以推动高性能计算、AI加速器、AR/VR和其他流行应用领域的创新。获得三星4LPP工艺的认证,充分彰显了我们的解决方案可提供高水平的硅相关性和设计鲁棒性,帮助芯片开发者实现理想PPA,加速其芯片上市。”

Shankar Krishnamoorthy

新思科技芯片实现事业部总经理

经三星认证的新思科技数字设计解决方案基于Fusion Design Platform,该平台凭借单数据模型和机器学习能力,覆盖“从设计到制造”的整个芯片生命周期,可加速超融合创新设计的开发。经三星流程认证的解决方案包括:

●FusionCompiler RTL-to-GDSII数字实施解决 方案●ICCompiler II布局布线解决方案●3DICCompiler统一初步规划到签核3D解决方案●DesignCompiler Graphical综合解决方案●DesignCompiler NXT RTL综合解决方案●TestMAXDFT高级测试用设计解决方案●TestMAXATPG高级模式生成解决方案●StarRC金牌签核寄生参数提取解决方案●PrimeTime静态时序分析解决方案●PrimePowerRTL签核功耗分析解决方案●ICValidator物理认证解决方案

经三星认证的新思科技定制设计解决方案基于Custom Design Platform,该平台包括PrimeSim Continuum模拟解决方案,为模拟和混合信号设计提供统一的设计和验证工具。PrimeSim Continuum解决方案包括PrimeSim HSPICE、PrimeSim SPICE、PrimeSim Pro和PrimeSim XA模拟器。经三星流程认证的的其他解决方案包括:

●PrimeSimEMIR分析解决方案,用于晶体管级 功耗签核●CustomCompiler设计环境,用于全定制模 拟、定制数字和混合信号集成电路●SiliconSmart电池、I/O和内存特性解决方案●PrimeLib统一库特性和验证解决方案

新思科技正在为三星的4LPP工艺开发广泛的DesignWare IP产品组合,其中包括:

●多协议32G PHY IP,包括PCI Express 5.0和 25G以太网嵌入式存储器,包括TCAM●逻辑库●通用I/O(GPIO)●高性能内核 (HPC) 设计套件

原文标题:快人一步!新思科技EDA全流程获三星最新4LPP工艺认证

文章出处:【微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

审核编辑:彭菁
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    446

    文章

    47769

    浏览量

    409068
  • 晶圆
    +关注

    关注

    52

    文章

    4523

    浏览量

    126420
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50064

原文标题:快人一步!新思科技EDA全流程获三星最新4LPP工艺认证

文章出处:【微信号:Synopsys_CN,微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    思科技携手英特尔加速Intel 18A工艺下高性能芯片设计

    思科技数字和模拟 EDA 流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
    的头像 发表于 03-05 17:23 279次阅读

    思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

     芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字和模拟EDA流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
    发表于 03-05 10:16 111次阅读

    思科技携手Ansys和三星共同开发14LPU工艺的全新射频集成电路设计

    思科技(Synopsy)近日宣布,携手Ansys 、三星半导体晶圆代工(以下简称“三星”)共同开发了面向三星14LPU工艺的全新射频集成电
    的头像 发表于 12-11 18:25 481次阅读

    三星电子在 EUV 曝光技术取得重大进展

    三星电子行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年12月05日 17:16:29

    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

    Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得认证
    的头像 发表于 11-15 15:55 434次阅读
    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm <b class='flag-5'>LPP</b> <b class='flag-5'>工艺</b>技术<b class='flag-5'>认证</b>

    思科技携手合作伙伴开发针对台积公司N4P工艺的射频设计参考流程

    思科技(Synopsys)被评为“台积公司开放创新平台(OIP)年度合作伙伴”(Open Innovation Platform,OIP)并获得数字芯片设计、模拟芯片设计、多裸晶芯片系统、射频
    的头像 发表于 11-14 10:31 411次阅读

    2023年10月21日芯片价格信息差《三星内存条》#采购#华强北#内存#集成电路#三星内存条#

    内存三星
    深圳市石芯电子有限公司
    发布于 :2023年10月21日 11:14:12

    思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证的数字和模拟设计流程

    多个设计流程在台积公司N2工艺上成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证的数字和模拟设计流程可提高高性能计算、移动和AI芯片的产品质量
    发表于 10-19 11:44 126次阅读

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    Compiler是统一的多裸晶芯片封装探索、协同设计和分析的平台,已经获得三星多裸晶芯集成工艺流程的认证。 全面和可扩展的新思科技多裸晶芯
    的头像 发表于 09-14 09:38 880次阅读

    晶圆厂扩产进程的特色工艺

    电子发烧友网报道(文/周凯扬)对于绝大部分晶圆厂来说,都不会去妄想从先进工艺上和中芯国际、三星或英特尔这样的厂商去竞争,因为投资成本之大风险之高均能使其望而却步。但这并不代表他们只能望着成熟
    的头像 发表于 08-09 00:15 1175次阅读

    两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

    存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗、性能和面积(PPA) 新思科技车规级IP集成到三星工艺中,有助于确保ADAS、动力总成和雷达SoC的长期运行并提高可靠性
    的头像 发表于 07-26 17:40 279次阅读

    思科技与三星扩大IP合作,加速新兴领域先进SoC设计

    面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112
    发表于 06-30 13:40 356次阅读

    三星电机提供车规级mlcc中的4种主要解决方案_贞光科技代理品牌# mlcc

    三星电机
    贞光科技
    发布于 :2023年05月30日 14:30:31