0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

三星已认证新思科技PrimeLib统一库表征和验证解决方案

新思科技 来源:新思科技 作者:新思科技 2021-11-09 16:59 次阅读

基于新思科技PrimeLib统一库表征和验证解决方案,双方共同客户可将汽车、AI、高性能计算和5G等应用的芯片设计时间缩短5倍。

新思科技(Synopsys)近日宣布,三星晶圆厂(以下简称为“三星”)已在5nm、4nm和3nm工艺技术中认证了新思科技的PrimeLib统一库表征和验证解决方案,可满足高性能计算、5G、汽车、超连接、以及人工智能芯片等下一代设计的高级计算需求。此次认证还包括对PrimeSim Continuum的验证,PrimeSim Continuum为新思科技Custom Design Platform和嵌入PrimeLib解决方案中的集成仿真器技术提供了基础,能够为开发者提供无缝仿真体验,协助其实现黄金质量签核。

工艺节点每演进一步就会带来计算需求的三倍增长,库表征的复杂性随之显著增加。PrimeLib解决方案的关键特性在于:在超低电压角之下,先进机器学习(ML)算法和自适应流生成准确统计变化模型的速度比前几代快了近5倍,同时还可降低整体计算成本。这项下一代解决方案还包括针对多PVT表征的创新性SmartScaling技术,可基于新思科技PrimeTime扩展引擎,以最小表征角即时实现库生成。

我们致力于为客户提供最具创新性的技术解决方案,以应对5nm及以下工艺设计和复杂建模日益艰巨的挑战。新思科技PrimeLib库表征和验证解决方案让我们能够以5倍的速度为先进节点提供高质量签核库,协助客户加快整体的芯片设计进程和流片生产,从而实现理想的功耗、性能和面积(PPA)目标。

——Sangyun Kim

为满足市场对芯片性能优化、先进工艺节点高质量库和系统设计上云等的需求,5nm至3nm工艺的精度要求需要先进模型的高效库表征周期,如电迁移(EM)、老化和自由变异格式(LVF)等。针对下一代应用的其他整体变异会导致工艺、电压和温度(PVT)的变化,从而显著影响芯片设计。这些变化最终将导致计算压力增加,即在工艺设计套件(PDK)变更的高峰需求期间需要大量支持,造成设计交付时间的延迟。

PrimeLib库表征可支持瞬时LVF、老化和EM等先进模型,通过为云端或计算组的超过万个并行作业提供达5倍的快速周转时间(TAT),从而为现有解决方案的表征和高度可扩展性提供专属的仿真器许可证支持。通过利用嵌入式PrimeSim SPICE和HSPICE引擎并整合验证功能,PrimeLib解决方案还可以创建PrimeTime黄金质量签核库和PrimeShield设计稳定性分析。

PrimeLib主要产品特性和优势

ML模型,具有高达5倍的LVF性能提升,可准确计算超低PVT角效果。可提供云上支持,采用卓越扩展技术,能切实处理各种工作负载,同时将TAT从几周降至几天; 面向多PVT角的SmartScaling技术可将表征运行时间降低3至10倍,并实现瞬时库生成; 嵌入式PrimeSim SPICE引擎和签核验证功能相结合,可创建PrimeTime黄金质量签核库、相关性、约束和功耗验证; 基于ML的增强灵敏度数据库可缩短升级版PDK的上市时间。

成功的IC设计需要高质量库,而新思科技的PrimeLib可提供一整套功能,让客户能够根据不断变化的行业需求进行扩展。我们将与三星保持长期合作,致力于交付一流的工艺技术。此次认证是我们持续创新的结果,将加快两家公司共同客户的高性能设计进程。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    447

    文章

    47803

    浏览量

    409170
  • 三星电子
    +关注

    关注

    34

    文章

    15605

    浏览量

    180128
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50067

原文标题:新思科技PrimeLib获三星5nm至3nm工艺认证,为高性能芯片设计提供5倍加速度

文章出处:【微信号:Synopsys_CN,微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    思科技硬件加速解决方案技术日在成都和西安站成功举办

    近日,【新思科技技术日】硬件加速验证解决方案专场成都站和西安站顺利举行,来自国内领先的系统级公司、芯片设计公司以及高校的250多名开发者们积极参与。
    的头像 发表于 04-19 17:35 165次阅读

    思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

     芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字和模拟EDA流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
    发表于 03-05 10:16 111次阅读

    思科技携手台积公司推出“从架构探索到签核” 统一设计平台

    思科技3DIC Compiler集成了3Dblox 2.0标准,可用于异构集成和“从架构探索到签核”的完整解决方案
    的头像 发表于 01-12 13:40 262次阅读
    新<b class='flag-5'>思科</b>技携手台积公司推出“从架构探索到签核” <b class='flag-5'>统一</b>设计平台

    三星电子在 EUV 曝光技术取得重大进展

    三星电子行业资讯
    深圳市浮思特科技有限公司
    发布于 :2023年12月05日 17:16:29

    2023年10月21日芯片价格信息差《三星内存条》#采购#华强北#内存#集成电路#三星内存条#

    内存三星
    深圳市石芯电子有限公司
    发布于 :2023年10月21日 11:14:12

    思科技的软件质量与安全解决方案获得行业认可

      在当今数智化时代,软件已成为各行业的核心竞争力。新思科技致力于为客户提供最优质的软件产品和服务。近期,新思科技的软件质量与安全解决方案获得了包括业内权威机构和客户等多方的赞誉和认可。 新
    的头像 发表于 10-17 10:14 728次阅读

    #美国 #三星 美国彻底放弃卡脖子吗?美国同意三星电子向中国工厂提供设备!

    三星电子
    深圳市浮思特科技有限公司
    发布于 :2023年10月11日 13:47:16

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    思科技经认证的多裸晶芯片系统设计参考流程和安全的Die-to-Die IP解决方案,加速了三星SF 5/4/3工艺和I-Cube及X-Cube技术的设计和流片成功。 新
    的头像 发表于 09-14 09:38 886次阅读

    思科尔携最新产品和解决方案亮相第24届光博会

    9月第24届中国国际光电博览会在深圳圆满举办。贝思科尔应邀了本次盛会,在为期三天的展会中,贝思科尔准备充分的宣传资料和产品介绍材料,我们向参展观众展示了贝思科尔的最新产品和解决方案,吸
    的头像 发表于 09-12 10:19 637次阅读

    STSAFE-A110优化的安全解决方案

    安全的身份验证解决方案,其安全特性通过独立第认证。其命令集经过定制,以确保强大的设备身份验证、监控设备使用情况、协助附近的主机安全通道建
    发表于 09-08 07:48

    STSAFE-V110面向车载Qi V1.3无线充电器的身份验证解决方案

    是面向汽车Qi充电器的整体式安全解决方案,符合Qi WPC 1.3规范。它内嵌在Qi充电器中,鉴别真正获得Qi认证的充电器,从而确保用户安全并保护设备免受任何由非认证无线充电器造成的损害。STSAFE-V110是高度安全的身份
    发表于 09-08 07:01

    Hitachi统一计算平台(UCP)解决方案与Brocade网络

    电子发烧友网站提供《Hitachi统一计算平台(UCP)解决方案与Brocade网络.pdf》资料免费下载
    发表于 08-30 10:31 0次下载
    Hitachi<b class='flag-5'>统一</b>计算平台(UCP)<b class='flag-5'>解决方案</b>与Brocade网络

    思科技系统级解决方案赋能Arm全新计算平台,携手加速下一代移动SoC开发

    思科技系统级全方位解决方案涵盖了设计、验证、芯片生命周期管理和IP,可提供业界领先的性能和能效 Synopsys.ai全栈式人工智能驱动型EDA解决方案和新
    的头像 发表于 06-07 01:50 409次阅读
    新<b class='flag-5'>思科</b>技系统级<b class='flag-5'>解决方案</b>赋能Arm全新计算平台,携手加速下一代移动SoC开发

    三星电机提供车规级mlcc中的4种主要解决方案_贞光科技代理品牌# mlcc

    三星电机
    贞光科技
    发布于 :2023年05月30日 14:30:31