0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于FPGA中Sobel的简介与实现

FPGA之家 来源:FPGA之家 作者:FPGA之家 2021-04-07 11:42 次阅读

一。 Sobel简介

一句话可以概况为,分别求水平与竖直梯度,然后求平方和再开方(近似的话就直接求绝对值之和),最后与设定的阈值进行比较,大于的话就赋值为0,小于的话就赋值为255

x方向梯度dx的求法:3*3的图像矩阵与下面的矩阵在对应位置相乘然后相加

9c1adcec-92eb-11eb-8b86-12bb97331649.png

y方向梯度dy的求法:同上

9c661eb4-92eb-11eb-8b86-12bb97331649.png

二。 代码实现

这里采用近似计算G = |dx| + |dy|,正负号分开计算,然后用大的数减去小的数

reg[10:0] Sobel_px ,Sobel_nx;reg[10:0] Sobel_py ,Sobel_ny;

wire[10:0] Sobel_x;wire[10:0] Sobel_y;

wire[7:0] Sobel_data;

//x方向的梯度assign Sobel_x = (Sobel_px 》 Sobel_nx) ? (Sobel_px - Sobel_nx) : (Sobel_nx - Sobel_px);//y方向的梯度assign Sobel_y = (Sobel_py 》 Sobel_ny) ? (Sobel_py - Sobel_ny) : (Sobel_ny - Sobel_py);assign Sobel_data = (Sobel_x + Sobel_y 》 ‘d135) ? ’d0 : ‘d255;

always@(posedge clk_9M or negedge rst)begin if(rst == 1’b0) begin Sobel_px 《= ‘d0; Sobel_nx 《= ’d0; end else if(cur_x 》= ‘d100 && cur_x 《= ’d199 && cur_y 》= ‘d50) begin Sobel_nx 《= data_line_11 + data_line_21 + data_line_21 + data_line_31; Sobel_px 《= data_line_13 + data_line_23 + data_line_23 + data_line_33; end else begin Sobel_nx 《= ’d0; Sobel_px 《= ‘d0; endend

always@(posedge clk_9M or negedge rst)begin if(rst == 1’b0) begin Sobel_py 《= ‘d0; Sobel_ny 《= ’d0; end else if(cur_x 》= ‘d100 && cur_x 《= ’d199 && cur_y 》= ‘d50) begin Sobel_py 《= data_line_11 + data_line_12 + data_line_12 + data_line_13; Sobel_ny 《= data_line_31 + data_line_32 + data_line_32+ data_line_33; end else begin Sobel_ny 《= ’d0; Sobel_py 《= ‘d0; endend
编辑:lyn

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21331

    浏览量

    593349
  • sobel
    +关注

    关注

    0

    文章

    12

    浏览量

    7828

原文标题:FPGA实现Sobel边缘检测

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    算法系列:基于 FPGA 的图像边缘检测系统设计(sobel算法)

    post_y_data_r<=16\'hffff; end 六、图片的显示 本来是想用 VGA 来显示图片的,由于条件的限制没能实现,最终只能将处理完的数据输出保存在 .txt 文件,然后借助网页进行
    发表于 03-26 16:40

    请问MCSDK关于过流保护的设置是怎么实现的呢?

    在ihm07m1电机功率板上,没有找到关于过流保护触发定时器BKIN的硬件电路啊? 请问MCSDK关于过流保护的设置是怎么实现的呢?
    发表于 03-22 08:21

    高云FPGA简介

    高云是一家专业从事现场可编程逻辑器件(FPGA)研发与设计的国产FPGA高科技公司,致力于向客户提供从芯片、EDA开发软件、IP、开发板到整体系统解决方案的一站式服务。高云半导体在FPGA芯片架构
    发表于 01-28 17:35

    FPGA实现原理

    FPGA(Field-Programmable Gate Array,现场可编程门阵列)是一种特殊的集成电路,其内部结构由大量的可配置逻辑块和互连线组成。FPGA可以通过编程来实现各种数字系统功能
    发表于 01-26 10:03

    关于FPGA的开源项目介绍

    Hello,大家好,之前给大家分享了大约一百多个关于FPGA的开源项目,涉及PCIe、网络、RISC-V、视频编码等等,这次给大家带来的是不枯燥的娱乐项目,主要偏向老的游戏内核使用FPGA进行硬解,涉及的内核数不胜数,主要目标是
    的头像 发表于 01-10 10:54 560次阅读
    <b class='flag-5'>关于</b><b class='flag-5'>FPGA</b>的开源项目介绍

    IIC总线的FPGA实现说明

    DE2_TV中,有关于寄存器的配置的部分,采用的方法是通过IIC的功能,这里对IIC总线的FPGA实现做个说明。
    的头像 发表于 01-05 10:16 330次阅读
    IIC总线的<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>说明

    国产FPGA简介

    电子、AI、数据中心。 安路科技(上海) 核心技术:全流程TD软件系统 主要产品:高端PHOENIX(凤凰)、端EAGLE(猎鹰)、低端ELF(精灵)系列FPGA。 应用方案:LED显示屏、工业自动化
    发表于 11-20 16:20

    使用Vivado高层次综合(HLS)进行FPGA设计的简介

    电子发烧友网站提供《使用Vivado高层次综合(HLS)进行FPGA设计的简介.pdf》资料免费下载
    发表于 11-16 09:33 0次下载
    使用Vivado高层次综合(HLS)进行<b class='flag-5'>FPGA</b>设计的<b class='flag-5'>简介</b>

    迅为iTOP-RK3568开发板Sobel 算子边缘检测

    的终端界面,输入以下命令来创建 demo32_Sobel.py 文件,如下图所示: vim demo32_Sobel.py 然后向该文件添加以下内容: 1 import cv2 #opencv 的缩写
    发表于 09-18 10:27

    图像锐化的Sobel、Laplacian算子基础知识介绍

    Sobel 算子是一种用于边缘检测的离散微分算子,它结合了高斯平滑和微分求导
    的头像 发表于 09-13 09:52 808次阅读
    图像锐化的<b class='flag-5'>Sobel</b>、Laplacian算子基础知识介绍

    hash算法在FPGA中的实现(2)

    在前面的文章中:hash算法在FPGA中的实现(一)——hash表的组建,记录了关于hash表的构建,这里记录另外一个话题,就是hash链表。我们知道,只要有hash的地方,就一定有冲突,关键就看
    的头像 发表于 09-07 17:02 415次阅读
    hash算法在<b class='flag-5'>FPGA</b>中的<b class='flag-5'>实现</b>(2)

    关于FPGA专用时钟管脚的应用

    本文主要用来随意记录一下最近在为手头的FPGA项目做约束文件时候遇到的一点关于FPGA专用时钟管脚相关的内容,意在梳理思路、保存学习结果、以供自己日后以及他人参考。
    发表于 08-07 09:20 1709次阅读
    <b class='flag-5'>关于</b><b class='flag-5'>FPGA</b>专用时钟管脚的应用

    荐读:FPGA设计经验之图像处理

    系列:基于 FPGA 的图像边缘检测系统设计(sobel算法) FPGA设计 Verilog HDL实现基本的图像滤波处理仿真 基于
    发表于 06-08 15:55

    求一种FPGA实现图像去雾的实现设计方案

    本文详细描述了FPGA实现图像去雾的实现设计方案,采用暗通道先验算法实现,并利用verilog并行执行的特点对算法进行了加速;
    发表于 06-05 17:01 904次阅读
    求一种<b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>图像去雾的<b class='flag-5'>实现</b>设计方案

    FPGA工作原理与简介

    ,从而在相同的电路情况下实现了不同的逻辑功能。查找表(Look-Up-Table)简称为LUT,LUT本质上就是一个RAM。目前FPGA多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线
    发表于 05-30 20:53