0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

xilinx源语中IDDR和ODDR介绍

FPGA开源工作室 来源:FPGA开源工作室 作者:FPGA开源工作室 2021-03-05 18:11 次阅读

1 IDDR

1.1 介绍

该设计元素是专用的输入寄存器,旨在将外部双数据速率(DDR信号接收到Xilinx FPGA中。IDDR可用的模式可以在捕获数据的时间和时钟沿或在相同的时钟沿向FPGA架构显示数据。此功能使您可以避免其他时序复杂性和资源使用情况。

1)OPPOSITE_EDGE模式-以传统的DDR方法恢复数据。给定分别在引脚D和C上的DDR数据和时钟,在时钟C的每个上升沿之后Q1发生变化,在时钟C的每个下降沿之后Q2发生变化。

2)SAME_EDGE模式-时钟C的相对边沿仍然恢复数据。但是,在负边沿数据寄存器后面放置了一个额外的寄存器。这个额外的寄存器由时钟信号C的正时钟沿提供时钟。结果,现在DDR数据在相同的时钟沿提供给FPGA架构。但是,由于此功能,数据对似乎是“分离的”。Q1和Q2不再具有对1和2。相反,出现的第一个对是对1和DONT_CARE,在下一个时钟周期之后是对2和3。

3)SAME_EDGE_PIPELINED模式-以与SAME_EDGE模式类似的方式恢复数据。为了避免SAME_EDGE模式的“分离”效应,在上升沿数据寄存器的前面放置了一个额外的寄存器。现在,数据对同时出现在Q1和Q2引脚上。但是,使用此模式将使Q1和Q2信号更改的延迟时间增加一个额外的周期。

1.2 Verilog Instantiation Template

// IDDR: Input Double Data Rate Input Register with Set, Reset

// and Clock Enable.

// 7 Series

// Xilinx HDL Libraries Guide, version 14.7

IDDR #(

.DDR_CLK_EDGE(“OPPOSITE_EDGE”), // “OPPOSITE_EDGE”, “SAME_EDGE”

// or “SAME_EDGE_PIPELINED”

.INIT_Q1(1‘b0), // Initial value of Q1: 1’b0 or 1‘b1

.INIT_Q2(1’b0), // Initial value of Q2: 1‘b0 or 1’b1

.SRTYPE(“SYNC”) // Set/Reset type: “SYNC” or “ASYNC”

) IDDR_inst (

.Q1(Q1), // 1-bit output for positive edge of clock

.Q2(Q2), // 1-bit output for negative edge of clock

.C(C), // 1-bit clock input

.CE(CE), // 1-bit clock enable input

.D(D), // 1-bit DDR data input

.R(R), // 1-bit reset

.S(S) // 1-bit set

);

// End of IDDR_inst instantiation

1.3端口描述

b23035d4-7c3b-11eb-8b86-12bb97331649.png

1.4 可用属性

b26bd9b8-7c3b-11eb-8b86-12bb97331649.png

原文标题:xilinx源语 IDDR和ODDR

文章出处:【微信公众号:FPGA开源工作室】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119388
  • IDDR
    +关注

    关注

    0

    文章

    3

    浏览量

    9448

原文标题:xilinx源语 IDDR和ODDR

文章出处:【微信号:leezym0317,微信公众号:FPGA开源工作室】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Xilinx SelectIO资源内部的IDELAYE2应用介绍

    本文我们介绍Xilinx SelectIO资源内部IDELAYE2资源应用。IDELAYE2原句配合IDELAYCTRL原句主要用于在信号通过引脚进入芯片内部之前,进行延时调节,一般高速端口信号由于走线延时等原因,需要通过IDELAYE2原语对数据做微调,实现时钟与数据
    的头像 发表于 04-26 11:33 168次阅读
    <b class='flag-5'>Xilinx</b> SelectIO资源内部的IDELAYE2应用<b class='flag-5'>介绍</b>

    Xilinx fpga芯片系列有哪些

    Xilinx FPGA芯片拥有多个系列和型号,以满足不同应用领域的需求。以下是一些主要的Xilinx FPGA芯片系列及其特点。
    的头像 发表于 03-14 16:24 802次阅读

    FPGA实现iddr语言的双速率采集和存储?

    FPGA实现iddr语言的双速率采集和存储,有没有大佬做过
    发表于 01-15 16:19

    Xilinx VIO介绍和应用场景

    在以往的项目中,要控制FPGA内部某个信号的值,往往是通过配置寄存器来实现的。其实Xilinx还提供了一个叫VIO的core,可以动态改变FPGA内部某个信号的值,但是一直没有用过,一来对于以前的项目来说,没有应用场景,通过寄存器就可以配置了;二来感觉这个东西不是很“实用”。
    的头像 发表于 12-11 18:26 908次阅读
    <b class='flag-5'>Xilinx</b> VIO<b class='flag-5'>介绍</b>和应用场景

    简述Xilinx 7系列FPGA芯片相关知识

    Xilinx 7系列 芯片 应用非常广泛,具有成本低、性能强悍、成熟稳定的特点,目前Xilinx( AMD )已延长该系列芯片的生命周期至少到2035年。 本文主要介绍Xilinx 7
    的头像 发表于 11-28 10:20 497次阅读
    简述<b class='flag-5'>Xilinx</b> 7系列FPGA芯片相关知识

    罗彻斯特电子携手AMD/Xilinx可持续供应Xilinx传统FPGA产品

    罗彻斯特电子携手AMD/Xilinx,为Xilinx传统FPGA和相关配置PROM产品提供供货支持。
    的头像 发表于 11-07 09:04 271次阅读

    Xilinx FPGA的GTx的参考时钟

    本文主要介绍Xilinx FPGA的GTx的参考时钟。下面就从参考时钟的模式、参考时钟的选择等方面进行介绍
    发表于 09-15 09:14 2442次阅读
    <b class='flag-5'>Xilinx</b> FPGA的GTx的参考时钟

    基于Xilinx FPGA的边界扫描应用

    上一篇文章,介绍了基于STM32F103的JTAG边界扫描应用,演示了TopJTAG Probe软件的应用,以及边界扫描的基本功能。本文介绍基于Xilinx FPGA的边界扫描应用,两者几乎是一样。
    的头像 发表于 09-13 12:29 754次阅读
    基于<b class='flag-5'>Xilinx</b> FPGA的边界扫描应用

    Arm Cortex-M1 DesignStart FPGA Xilinx版用户指南

    适用于需要将小型处理器集成到FPGA的深度嵌入式应用。 该处理器实现ARMv6-M架构,并与用于ASIC实现的Cortex-M0和Cortex-M0+处理器密切相关。 本章介绍了Cortex-M1 DesignStart FPGA-X
    发表于 08-16 06:10

    Xilinx Vivado DDS IP使用方法

    DDS(Direct Digital Frequency Synthesizer) 直接数字频率合成器,本文主要介绍如何调用Xilinx的DDS IP核生成某一频率的Sin和Cos信号。
    的头像 发表于 07-24 11:23 2154次阅读
    <b class='flag-5'>Xilinx</b> Vivado DDS IP使用方法

    Xilinx Zynq7035算力指标

    本文介绍广州星嵌DSP C6657+Xilinx Zynq7035平台下Xilinx Zynq7035算力指标。
    的头像 发表于 07-07 14:15 876次阅读
    <b class='flag-5'>Xilinx</b> Zynq7035算力指标

    Xilinx高质量时钟输出ODDR原语的概述及使用方法

    在SDR接口中,ODDR转发时钟(仍在时钟树内),输出端要直连到输出port,不可加逻辑
    的头像 发表于 06-27 10:21 5149次阅读
    <b class='flag-5'>Xilinx</b>高质量时钟输出<b class='flag-5'>ODDR</b>原语的概述及使用方法

    Xilinx的高质量时钟输出ODDR原语

    在SDR接口中,ODDR转发时钟(仍在时钟树内),输出端要直连到输出port,不可加逻辑,连接方式:输出时钟连接ODDR的C引脚,D1固定值1'b1, D2固定值1'b0,CE固定值1’b1,ODDR的输出Q
    的头像 发表于 06-21 14:11 896次阅读
    <b class='flag-5'>Xilinx</b>的高质量时钟输出<b class='flag-5'>ODDR</b>原语

    Xilinx源语:Input DDR Overview(IDDR)介绍

    7系列设备在ILOGIC块中具有专用寄存器,用于实现输入双倍数据速率(DDR)寄存器。此功能用于实例化IDDR基元。
    的头像 发表于 05-29 09:46 1523次阅读
    <b class='flag-5'>Xilinx</b>源语:Input DDR Overview(<b class='flag-5'>IDDR</b>)<b class='flag-5'>介绍</b>

    Xilinx FPGA pcb设计

    Xilinx FPGA pcb设计
    发表于 05-29 09:11 0次下载