0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA之主时钟约束解析

电子设计 来源:科学计算technomania 作者:猫叔 2020-11-16 17:45 次阅读

约束主时钟

在这一节开讲之前,我们先把wave_gen工程的wave_gen_timing.xdc中的内容都删掉,即先看下在没有任何时序约束的情况下会综合出什么结果?

对工程综合并Implementation后,Open Implemented Design,会看到下图所示内容。


可以看到,时序并未收敛。可能到这里有的同学就会有疑问,我们都已经把时序约束的内容都删了,按我们第一讲中提到的“因此如果我们不加时序约束,软件是无法得知我们的时钟周期是多少,PAR后的结果是不会提示时序警告的”,这是因为在该工程中,用了一个MMCM,并在里面设置了输入信号频率,因此这个时钟软件会自动加上约束。

接下来,我们在tcl命令行中输入report_clock_networks -name main,显示如下:


可以看出,Vivado会自动设别出两个主时钟,其中clk_pin_p是200MHz,这个是直接输入到了MMCM中,因此会自动约束;另一个输入时钟clk_in2没有约束,需要我们手动进行约束。

或者可以使用check_timing -override_defaults no_clock指令,这个指令我们之前的内容讲过,这里不再重复讲了。

在tcl中输入

create_clock -name clk2 -period 25 [get_ports clk_in2]

注:在Vivado中,可以直接通过tcl直接运行时序约束脚本,运行后Vivado会自动把这些约束加入到xdc文件中。

再执行report_clock_networks -name main,显示如下:


可以看到,主时钟都已被正确约束。

编辑:hfy


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593195
  • TCL
    TCL
    +关注

    关注

    10

    文章

    1658

    浏览量

    87932
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65100
  • 时钟约束
    +关注

    关注

    0

    文章

    15

    浏览量

    5990
收藏 人收藏

    评论

    相关推荐

    FPGA案例解析:针对源同步的时序约束

    是指FPGA与外部器件共用外部时钟;源同步(SDR,DDR)即时钟与数据一起从上游器件发送过来的情况。在设计当中,我们遇到的绝大部分都是针对源同步的时序约束问题。所以下文讲述的主要是针
    的头像 发表于 11-20 14:44 7009次阅读
    <b class='flag-5'>FPGA</b>案例<b class='flag-5'>解析</b>:针对源同步的时序<b class='flag-5'>约束</b>

    FPGA的IO口时序约束分析

      在高速系统中FPGA时序约束不止包括内部时钟约束,还应包括完整的IO时序约束和时序例外约束
    发表于 09-27 09:56 1454次阅读

    FPGA时钟约束详解 Vivado添加时序约束方法

    FPGA设计中,时序约束的设置对于电路性能和可靠性都至关重要。在上一篇的文章中,已经详细介绍了FPGA时序约束的基础知识。
    发表于 06-06 18:27 7045次阅读
    <b class='flag-5'>FPGA</b>主<b class='flag-5'>时钟</b><b class='flag-5'>约束</b>详解 Vivado添加时序<b class='flag-5'>约束</b>方法

    FPGA时序约束之衍生时钟约束时钟分组约束

    FPGA设计中,时序约束对于电路性能和可靠性非常重要。在上一篇的文章中,已经详细介绍了FPGA时序约束的主时钟
    发表于 06-12 17:29 1438次阅读

    FPGA时序约束之伪路径和多周期路径

    前面几篇FPGA时序约束进阶篇,介绍了常用主时钟约束、衍生时钟约束
    发表于 06-12 17:33 994次阅读

    FPGA时钟周期约束讲解

    时钟周期约束是用于对时钟周期的约束,属于时序约束中最重要的约束之一。
    发表于 08-14 18:25 517次阅读

    FPGA全局时钟约束(Xilinx版本)

    FPGA上的全局时钟管脚用完了就出现不够用的情况。FPGA全局时钟约束(Xilinx版本)[hide][/hide]
    发表于 02-29 09:46

    FPGA时序约束OFFSET

    FPGA时序约束,总体来分可以分为3类,输入时序约束,输出时序约束,和寄存器到寄存器路径的约束。其中输入时序
    发表于 09-05 21:13

    FPGA altera 时钟约束和IO约束说明

    在设计以太网中继器时,因为没有配置时钟约束,导致中继器工作不正常。后面根据手册配置时钟约束解决了此问题。
    发表于 10-07 18:51

    FPGA时钟约束问题

    FPGA的DCM模块,40MHz时钟输入,得到clkout1 40MHz,clkout2 60MHz,clkout1 120MHz。对40MHz时钟添加了约束,系统不是会自动对三个输出
    发表于 05-25 15:06

    FPGA案例之衍生时钟约束

    约束衍生时钟 系统中有4个衍生时钟,但其中有两个是MMCM输出的,不需要我们手动约束,因此我们只需要对clk_samp和spi_clk进行约束
    的头像 发表于 11-17 16:28 2073次阅读
    <b class='flag-5'>FPGA</b>案例之衍生<b class='flag-5'>时钟</b><b class='flag-5'>约束</b>

    如何理解和使用做FPGA设计时的过约束

    有人希望能谈谈在做FPGA设计的时候,如何理解和使用过约束。我就以个人的经验谈谈: 什么是过约束; 为什么会使用过约束; 过约束的优点和缺点
    的头像 发表于 03-29 11:56 4581次阅读
    如何理解和使用做<b class='flag-5'>FPGA</b>设计时的过<b class='flag-5'>约束</b>?

    简述Xilinx FPGA管脚物理约束解析

    引言:本文我们简单介绍下Xilinx FPGA管脚物理约束,包括位置(管脚)约束和电气约束
    的头像 发表于 04-27 10:36 3210次阅读
    简述Xilinx <b class='flag-5'>FPGA</b>管脚物理<b class='flag-5'>约束</b><b class='flag-5'>解析</b>

    简述FPGA时钟约束时钟余量超差解决方法

    在设计FPGA项目的时候,对时钟进行约束,但是因为算法或者硬件的原因,都使得时钟约束出现超差现象,接下来主要就是解决
    的头像 发表于 10-11 14:52 2952次阅读
    简述<b class='flag-5'>FPGA</b><b class='flag-5'>时钟</b><b class='flag-5'>约束</b><b class='flag-5'>时钟</b>余量超差解决方法

    FPGA设计衍生时钟约束时钟分组约束设置

    FPGA设计中,时序约束对于电路性能和可靠性非常重要。
    发表于 06-26 14:53 939次阅读
    <b class='flag-5'>FPGA</b>设计衍生<b class='flag-5'>时钟</b><b class='flag-5'>约束</b>和<b class='flag-5'>时钟</b>分组<b class='flag-5'>约束</b>设置