0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技加快下一代设计 设计平台成功获的TSMC 5nm EUV工艺技术认证

西西 作者:厂商供稿 2018-10-23 14:29 次阅读

此项认证为先进客户设计提供了经过验证的、可随时投产的流程。

重点:

IC Compiler II和Design Compiler Graphical提供了统一流程,实现最低功耗、最佳性能和最优面积。

StarRC、PrimeTime和PrimeTime PX支持全流程设计实现并提供时序和功耗分析的signoff支持。

具有先进仿真解决方案的新思科技定制设计平台支持最新5nm设计规则和FinFET器件模型。

2018年10月23日,中国 北京——新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS)宣布,新思科技数字和定制设计平台通过了TSMC最先进的5nm EUV工艺技术认证。该认证是多年广泛合作的结果,旨在提供更优化的设计解决方案,加快下一代设计的发展进程。

Design Compiler® Graphical综合工具经过了严格的5nm启用验证,并证明了与IC Compiler™ II布局布线工具在时序、面积、功耗和布线拥塞方面的相关一致性。Design Compiler Graphical 5nm创新技术可以实现最佳性能、最低功耗和最优面积,这些新技术包括过孔支柱优化、多位库和引脚接入优化。

IC Compiler II的增强功能是满足设计密度要求的关键。在优化过程中可内在地处理复杂的、多变量以及二维的单元布局,同时最大限度提高下游可布线性以及整体的设计收敛。

新思科技PrimeTime®时序分析和signoff解决方案中的POCV分析已得到增强,能够准确地捕获由于工艺缩放和通常用于实现能源效率而采用的低电压操作导致的非线性变化。此外,PrimeTime物理感知ECO已扩展到能够支持更复杂的版图规则,以改善拥塞、布局和引脚接入感知。

TSMC设计基础设施市场部资深总监Suk Lee表示,“5nm EUV技术是TSMC的核心里程碑,在提供业界最佳的工艺技术方面继续扩大了我们在更广泛行业中的领先地位。我们一直保持与新思科技的密切合作,简化设计流程并缩短上市时间,以帮助我们的共同用户在这一新的工艺节点上使用新思科技设计平台。此次合作最大程度地使该工艺在高性能计算和超低功耗移动应用上得以发挥优势。我们期待为下一代工艺节点继续合作。”

新思科技芯片设计事业部营销与商务开发副总裁Michael Jackson表示,“我们始终保持与TSMC广泛合作,帮助我们的共同用户在新思科技设计平台上充分利用TSMC 5nm工艺技术的优势,从而加快世界领先的高密度芯片从设计到生产的过程,实现最低功耗、最佳性能和最优面积。”

新思科技设计平台相关技术文件、库和寄生参数数据可以从TSMC获得,并用于5nm工艺技术。通过TSMC 5nm FinFET工艺认证的新思科技设计平台的关键工具和功能包括:

IC Compiler II布局和布线:全自动、全着色布线和提取支持,新一代布局及布局合法化技术能够进一步减少单元占用空间,以及面向高设计利用率的先进布局合法化技术和引脚接入建模。

PrimeTime时序signoff:针对低电压和增强型ECO技术的先进片上变异建模,支持新的物理设计规则。

PrimeTime PX功耗分析:先进的功耗建模,可准确分析超高密度标准单元设计的漏电影响。

StarRC提取signoff:先进的建模以处理5nm器件的复杂性,以及一套通用技术文件用于保证从逻辑综合到布局布线到signoff的寄生参数提取一致性。

IC Validator物理signoff:原生开发的合格DRC、LVS和金属填充运行集,与TSMC设计规则同时发布。

HSPICE®、CustomSim™和FineSim®仿真解决方案:支持Monte Carlo的FinFET器件建模,以及精确的电路仿真结果,用于模拟、逻辑、高频和SRAM设计。

CustomSim可靠性分析:针对5nm EM规则的精确动态晶体管级IR/EM分析。

Custom Compiler™定制设计:支持全新5nm设计规则、着色流程、多晶硅通道区域以及新的MEOL连接要求。

NanoTime定制设计时序分析:针对5nm器件的运行时间和内存优化,FinFET堆的POCV分析,以及面向定制逻辑、宏单元和嵌入式SRAM的增强型信号完整性分析。

ESP-CV定制设计功能验证:面向SRAM、宏单元和库单元设计的晶体管级符号等价性检查。

关于新思®

新思科技(Synopsys, Inc.,纳斯达克股票市场代码: SNPS)致力于创新改变世界,在芯片到软件的众多领域,新思科技始终引领技术趋势,与全球科技公司紧密合作,共同开发人们所依赖的电子产品和软件应用。新思科技是全球排名第一的芯片自动化设计解决方案提供商,全球排名第一的芯片接口IP供应商,同时也是信息安全和软件质量的全球领导者。作为半导体人工智能汽车电子及软件安全等产业的核心技术驱动者,新思科技的技术一直深刻影响着当前全球五大新兴科技创新应用:智能汽车、物联网、人工智能、云计算和信息安全。

新思科技成立于1986年,总部位于美国硅谷,目前拥有13000多名员工,分布在全球100多个分支机构。2018财年预计营业额31亿美元,拥有3000多项已批准专利,为美国标普500指数成分股龙头企业。

自1995年在中国成立新思科技以来,新思科技已在北京、上海、深圳、厦门、武汉、西安、南京、香港、澳门九大城市设立机构,员工人数超过1100人,建立了完善的技术研发和支持服务体系,秉持“加速创新、推动产业、成就客户”的理念,与产业共同发展,成为中国半导体产业快速发展的优秀伙伴和坚实支撑。新思科技携手合作伙伴共创未来,让明天更有新思!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • TSMC
    +关注

    关注

    3

    文章

    176

    浏览量

    84026
  • 新思科技
    +关注

    关注

    5

    文章

    716

    浏览量

    50065
  • EUV
    EUV
    +关注

    关注

    8

    文章

    577

    浏览量

    85578
收藏 人收藏

    评论

    相关推荐

    TSMC和Synopsys将在生产中使用NVIDIA计算光刻平台

    NVIDIA 于今日宣布,为加快下一代先进半导体芯片的制造速度并克服物理限制,TSMC 和 Synopsys 将在生产中使用 NVIDIA 计算光刻平台
    的头像 发表于 03-20 09:52 155次阅读

    思科技与英特尔深化合作,以新思科技IP和经Intel 18A工艺认证的EDA流程加速先进芯片设计

     芯片制造商与EDA解决方案和广泛的IP组合紧密合作, 能够提升产品性能并加快上市时间 摘要: 新思科技数字和模拟EDA流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面
    发表于 03-05 10:16 111次阅读

    三星与Arm携手,运用GAA工艺技术提升下一代Cortex-X CPU性能

    三星继续推进工艺技术的进步,近年来首次量产了基于2022年GAA技术的3nm MBCFET ™ 。GAA技术不仅能够大幅减小设备尺寸,降低供电电压,增强功率效率,同时也能增强驱动电流,
    的头像 发表于 02-22 09:36 170次阅读

    今日看点丨台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产;消息称字节跳动将取消下一代 VR 头显

    1. 台积电首次提及 1.4nm 工艺技术,2nm 工艺按计划 2025 年量产   台积电在近日举办的 IEEE 国际电子器件会议(IEDM)的小组研讨会上透露,其 1.4
    发表于 12-14 11:16 764次阅读

    三星D1a nm LPDDR5X器件的EUV光刻工艺

    三星D1a nm LPDDR5X器件的EUV光刻工艺
    的头像 发表于 11-23 18:13 650次阅读
    三星D1a <b class='flag-5'>nm</b> LPDDR5X器件的<b class='flag-5'>EUV</b>光刻<b class='flag-5'>工艺</b>

    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8nm LPP 工艺技术认证

    Samsung Foundry 的 8nm Low Power Plus(LPP)先进制程工艺认证。 EMX Solver 是市面上首个获得此认证的电磁(EM)求解器,
    的头像 发表于 11-15 15:55 434次阅读
    Cadence EMX 3D Planar Solver 通过 Samsung Foundry 8<b class='flag-5'>nm</b> LPP <b class='flag-5'>工艺技术</b><b class='flag-5'>认证</b>

    思科技携手台积公司加速N2工艺下的SoC创新

    思科技近日宣布,其数字和定制/模拟设计流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺节点的SoC实现更快、更高质量的交付。新思科
    的头像 发表于 10-24 16:42 506次阅读

    思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

    思科技接口和基础 IP 组合已获多家全球领先企业采用,可为 ADAS 系统级芯片提供高可靠性保障 摘要: 面向台积公司N5A工艺的新思科技IP产品在汽车温度等级2级下符合 AEC-Q100
    发表于 10-23 15:54 1036次阅读

    思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证的数字和模拟设计流程

    多个设计流程在台积公司N2工艺成功完成测试流片;多款IP产品已进入开发进程,不断加快产品上市时间   摘要: 新思科技经认证的数字和模拟设
    发表于 10-19 11:44 126次阅读

    思科技3DIC Compiler获得三星多裸晶芯集成工艺流程的认证

    思科技经认证的多裸晶芯片系统设计参考流程和安全的Die-to-Die IP解决方案,加速了三星SF 5/4/3工艺和I-Cube及X-Cube技术的设计和流片
    的头像 发表于 09-14 09:38 880次阅读

    思科技IP成功在台积公司3nm工艺实现流片

    基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并加快首次流片成功的芯片制造商建立竞争优势
    的头像 发表于 08-24 17:37 693次阅读

    一次成功!新思科技助力Banias Labs网络SoC流片,加快高性能计算设计

    Labs实现光学DSP SoC设计的一次性流片成功。2021年,Banias Labs采用了新思科技的IP,以充分利用该IP在低延迟、传输长度灵活性、以及在5纳米工艺技术上的成熟度等方面的
    的头像 发表于 06-19 18:05 197次阅读
    一次<b class='flag-5'>成功</b>!新<b class='flag-5'>思科</b>技助力Banias Labs网络SoC流片,<b class='flag-5'>加快</b>高性能计算设计

    思科技与Arm强强联手,加快下一代移动SoC开发

    思科技业界领先的EDA和IP全方位解决方案与Arm全面计算解决方案强强结合,助力生态系统应对多裸晶芯片系统设计挑战。
    发表于 06-05 11:55 420次阅读

    Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

    3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长
    发表于 05-19 16:25 806次阅读
    Cadence 发布面向 <b class='flag-5'>TSMC</b> 3<b class='flag-5'>nm</b> <b class='flag-5'>工艺</b>的 112G-ELR SerDes IP 展示

    Cadence数字和定制/模拟设计流程获得TSMC最新N3E和N2工艺技术认证

    楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布,Cadence 数字和定制/模拟设计流程已通过 TSMC N3E 和 N2 先进工艺的设计规则手册(DRM)认证。两家公司还发
    的头像 发表于 05-09 10:09 742次阅读