0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > eda

eda

+关注 0人关注

EDA是电子设计自动化(Electronics Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

文章: 2047
视频: 225
浏览: 170750
帖子: 267

eda简介

  EDA是电子设计自动化(Electronics Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

  20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

eda百科

  EDA是电子设计自动化(Electronics Design Automation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。

  20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

  EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VerilogHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

查看详情

eda知识

展开查看更多

eda技术

什么是EDA工具?目前全球EDA行业的现状是什么?

EDA公司以卖EDA工具license费作为主要的商业模式。以某家EDA公司的PnR工具为例,一套license三年的使用费大约为100万美金左右。对于...

2018-04-26 标签:芯片EDA 3.9万 0

简述什么是eda技术_eda技术好学吗_如何学习EDA技术

由于电子技术的飞速发展,使得基于EDA技术的电子系统设计方法得以广泛应用。EDA技术已成为现代系统设计和电子产品研发的有效工具,成为电子工程师应具备的基...

2018-04-27 标签:edaeda技术 3.7万 0

数字IC设计流程及工具介绍

IC就是半导体元件产品的统称,IC按功能可分为:数字IC、模拟IC、微波IC及其他IC。数字IC就是传递、加工、处理数字信号的IC,是近年来应用最广、发...

2018-03-23 标签:ic设计eda 3.4万 0

IC前端设计(逻辑设计)和后端设计(物理设计)的详细解析

IC前端设计(逻辑设计)和后端设计(物理设计)的详细解析

IC前端设计(逻辑设计)和后端设计(物理设计)的区分:以设计是否与工艺有关来区分二者;从设计程度上来讲,前端设计的结果就是得到了芯片的门级网表电路。

2017-12-25 标签:icedavhdl 3.1万 0

四种常见的EMC仿真软件介绍

EMC仿真软件能够为我们提供了一个非常有效的高频和高速电磁仿真设计工具,它集高速电路建模、仿真和优化为一体,用仿真代替实验,可以快速的帮助工程师完成高速...

2018-06-01 标签:emi电磁eda 3.1万 0

读懂芯片设计、IP授权、EDA软件技术核心

读懂芯片设计、IP授权、EDA软件技术核心

半导体IP授权属于半导体设计的上游。IP主要分为软IP、固IP和硬IP。软IP是用Verilog/VHDL等硬件描述语言描述的功能块,不涉及具体电路元件...

2019-07-29 标签:台积电eda 2.8万 0

EDA技术概述 什么是EDA工具?

EDA是IC电子行业必备的设计工具软件,是IC产业链最上游的子行业。Cadence、Synopsys、Mentor Graphics是EDA工具软件厂商...

2018-05-08 标签:eda 2.7万 0

学eda技术必看的8本书_eda技术方面的书籍推荐

随着EDA技术的发展,EDA技术的广泛应用,电子产品的更新日新月异,EDA技术已成为现代电子设计的核心。越来越多的人加入到eda技术行业,本文小编 推荐...

2018-04-27 标签:edaeda技术 2.5万 0

采用TSMC 28HPC / HPC + 工艺的Synopsys逻辑库和领先的EDA工具完美解决系统级芯片(SoC)设计

采用TSMC 28HPC / HPC + 工艺的Synopsys逻辑库和领先的EDA工具完美解决系统级芯片(SoC)设计

台湾积体电路制造公司(简称为台积电(TSMC))最近宣布了其第四个28nm工艺进入了量产 - 28HPC Plus(即28HPC +)。台积电(TSMC...

2017-11-01 标签:socEDASynopsys逻辑库 2.4万 0

eda概念是什么意思

EDA是电子设计自动化(ElectronicsDesignAutomation)的缩写,在20世纪60年代中期从计算机辅助设计(CAD)、计算机辅助制造...

2023-04-09 标签:计算机eda自动化 2.4万 0

查看更多>>

eda资讯

什么是EDA?EDA有哪些分类和应用?

可编程逻辑器件是一种由用户编程以实现某种电子电路功能的新型器件,PLD 可分为低密度和高密度两种。其中低密度 PLD 器件的编程都需要专用的编程器,属于...

2018-07-19 标签:硬件edapld 13.3万 0

什么是EDA软件?为什么说EDA软件非常重要?

更进一步,在芯片制造过程中依然需要EDA软件的辅助,在芯片的良率分析、加工工艺仿真等环节,EDA软件依然起到了非常关键的作用。

2020-09-23 标签:芯片集成电路半导体 7.9万 0

几款十分具有代表性的电路仿真软件

Proteus是英国著名的EDA工具,从原理图布图、代码调试到单片机与外围电路协同仿真,一键切换到:PCB设计,真正实现了从概念到产品的完整设计,是世界...

2022-05-16 标签:eda电路仿真 5.5万 0

国内EDA行业领军企业“概伦电子”获数亿元融资

近日,济南概伦电子科技有限公司(以下简称 概伦电子)完成人民币数亿元的融资,领投方为兴橙资本以及英特尔,守正资本旗下的正展基金参与投资。 被投方:概伦电...

2020-02-27 标签:EDA 4.6万 0

飞速直播nba

半导体行业最核心的供应软件,EDA。但是,现在整个EDA软件的全球市场规模不足一百亿美元,相对于5000亿美元的半导体产业,它的产值几乎不可见。

2019-12-16 标签:芯片eda 4.4万 0

基于Multisim的直流稳压电源设计

基于Multisim的直流稳压电源设计

EDA技术发展迅猛,已在科研、产品设计与制造及教学等各方面都发挥着巨火的作用。EDA代表了当今电子产品设计的最新发展方向,利用EDA工具,电子工程师不仅...

2011-09-27 标签:稳压电源EDAMultisim 4.0万 0

eda是什么技术

eda是什么技术

EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行...

2017-11-20 标签:edaead技术 3.9万 0

全球eda行业三足鼎立,华大九天该怎么争抢国内市场

缺人背后的主要原因是投入不足。刘伟平算了一下,从成立到现在,近十年时间,华大九天总投入不到5亿人民币,而“全球最大的EDA公司一个月投入就是5亿,一年投...

2018-08-22 标签:芯片集成电路eda 3.8万 0

华为3nm工艺芯片确认,EDA是深层次的解决方案

华为遇到了困难,但是华为并没有放弃,束手待毙不是华为的风格,现在的华为一手抓芯片设计,一手抓EDA发展,加上国产光刻机的主力加持,华为在芯片上还是有着很...

2021-02-13 标签:芯片集成电路华为 2.9万 0

华大九天已完成2018年新一轮融资,将全面布局EDA及相关业务

2018年9月12日,本土电子设计自动化(EDA)领军企业北京华大九天软件有限公司(华大九天)今日宣布,已完成2018年新一轮融资工作。

2018-09-17 标签:集成电路eda华大九天 2.8万 0

查看更多>>

eda数据手册

相关标签

相关话题

换一批
  • IOT
    IOT
    +关注
    IoT是Internet of Things的缩写,字面翻译是“物体组成的因特网”,准确的翻译应该为“物联网”。物联网(Internet of Things)又称传感网,简要讲就是互联网从人向物的延伸。
  • 海思
    海思
    +关注
  • STM32F103C8T6
    STM32F103C8T6
    +关注
    STM32F103C8T6是一款集成电路,芯体尺寸为32位,程序存储器容量是64KB,需要电压2V~3.6V,工作温度为-40°C ~ 85°C。
  • 数字隔离
    数字隔离
    +关注
    数字隔离技术常用于工业网络环境的现场总线、军用电子系统和航空航天电子设备中,尤其是一些应用环境比较恶劣的场合。数字隔离电路主要用于数字信号和开关量信号的传输。另一个重要原因是保护器件(或人)免受高电压的危害。本文详细介绍了数字隔离器工作原理及特点,选型及应用,各类数字隔离器件性能比较等内容。
  • 硬件工程师
    硬件工程师
    +关注
    硬件工程师Hardware Engineer职位 要求熟悉计算机市场行情;制定计算机组装计划;能够选购组装需要的硬件设备,并能合理配置、安装计算机和外围设备;安装和配置计算机软件系统;保养硬件和外围设备;清晰描述出现的计算机软硬件故障。
  • wifi模块
    wifi模块
    +关注
    Wi-Fi模块又名串口Wi-Fi模块,属于物联网传输层,功能是将串口或TTL电平转为符合Wi-Fi无线网络通信标准的嵌入式模块,内置无线网络协议IEEE802.11b.g.n协议栈以及TCP/IP协议栈。传统的硬件设备嵌入Wi-Fi模块可以直接利用Wi-Fi联入互联网,是实现无线智能家居、M2M等物联网应用的重要组成部分。
  • 74ls74
    74ls74
    +关注
    74LS74是双D触发器。功能多,可作双稳态、寄存器、移位寄存器、振荡器、单稳态、分频计数器等功能。本章详细介绍了74ls112的功能及原理,74ls74引脚图及功能表,74ls112的应用等内容。
  • UHD
    UHD
    +关注
    UHD是”超高清“的意思UHD的应用在电视机技术上最为普遍,目前已有不少厂商推出了UHD超高清电视。
  • MPU6050
    MPU6050
    +关注
    MPU-6000(6050)为全球首例整合性6轴运动处理组件,相较于多组件方案,免除了组合陀螺仪与加速器时间轴之差的问题,减少了大量的封装空间。
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • STC12C5A60S2
    STC12C5A60S2
    +关注
    在众多的51系列单片机中,要算国内STC 公司的1T增强系列更具有竞争力,因他不但和8051指令、管脚完全兼容,而且其片内的具有大容量程序存储器且是FLASH工艺的,如STC12C5A60S2单片机内部就自带高达60K FLASHROM,这种工艺的存储器用户可以用电的方式瞬间擦除、改写。
  • 循迹小车
    循迹小车
    +关注
    做单片机的工程师相比都堆循迹小车有所认识,它是自动引导机器人系统的基本应用,那么今天小编就给大家介绍下自动自动循迹小车的原理,智能循迹小车的应用,智能循迹小车程序,循迹小车用途等知识吧!
  • K60
    K60
    +关注
  • 光立方
    光立方
    +关注
    光立方是由四千多棵光艺高科技“发光树”组成的,在2009年10月1日天安门广场举行的国庆联欢晚会上面世。这是新中国成立六十周年国庆晚会最具创意的三大法宝之首。
  • LM2596
    LM2596
    +关注
    LM2596是降压型电源管理单片集成电路的开关电压调节器,能够输出3A的驱动电流,同时具有很好的线性和负载调节特性。固定输出版本有3.3V、5V、12V,可调版本可以输出小于37V的各种电压。
  • 光模块
    光模块
    +关注
    光模块(optical module)由光电子器件、功能电路和光接口等组成,光电子器件包括发射和接收两部分。简单的说,光模块的作用就是光电转换,发送端把电信号转换成光信号,通过光纤传送后,接收端再把光信号转换成电信号。
  • 步进驱动器
    步进驱动器
    +关注
    步进驱动器是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速和定位的目的。
  • STM32单片机
    STM32单片机
    +关注
    STM32系列基于专为要求高性能、低成本、低功耗的嵌入式应用专门设计的ARM Cortex-M3内核
  • Nexperia
    Nexperia
    +关注
    Nexperia是大批量生产基本半导体的领先专家,这些半导体是世界上每个电子设计都需要的组件。该公司广泛的产品组合包括二极管、双极晶体管、ESD 保护器件、MOSFET、GaN FET 以及模拟和逻辑IC。
  • CD4046
    CD4046
    +关注
    cD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V-18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。本章主要介绍内容有,CD4046的功能 cd4046锁相环电路,CD4046无线发射,cd4046运用,cd4046锁相环电路图。
  • COMSOL
    COMSOL
    +关注
    COMSOL集团是全球多物理场建模解决方案的提倡者与领导者。凭借创新的团队、协作的文化、前沿的技术、出色的产品,这家高科技工程软件公司正飞速发展,并有望成为行业领袖。其旗舰产品COMSOL Multiphysics 使工程师和科学家们可以通过模拟,赋予设计理念以生命。
  • 加速度传感器
    加速度传感器
    +关注
    加速度传感器是一种能够测量加速度的传感器。通常由质量块、阻尼器、弹性元件、敏感元件和适调电路等部分组成。
  • 联网技术
    联网技术
    +关注
  • 服务机器人
    服务机器人
    +关注
    服务机器人是机器人家族中的一个年轻成员,到目前为止尚没有一个严格的定义。不同国家对服务机器人的认识不同。
  • 四轴飞行器
    四轴飞行器
    +关注
    四轴飞行器,又称四旋翼飞行器、四旋翼直升机,简称四轴、四旋翼。这四轴飞行器(Quadrotor)是一种多旋翼飞行器。四轴飞行器的四个螺旋桨都是电机直连的简单机构,十字形的布局允许飞行器通过改变电机转速获得旋转机身的力,从而调整自身姿态。具体的技术细节在“基本运动原理”中讲述。
  • 基站测试
    基站测试
    +关注
    802.11ac与11基站测试(base station tests) 在基站设备安装完毕后,对基站设备电气性能所进行的测量。n的区别,802.11n无线网卡驱动,802.11n怎么安装。
  • TMS320F28335
    TMS320F28335
    +关注
    TMS320F28335是一款TI高性能TMS320C28x系列32位浮点DSP处理器
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • OBD
    OBD
    +关注
    OBD是英文On-Board Diagnostic的缩写,中文翻译为“车载诊断系统”。这个系统随时监控发动机的运行状况和尾气后处理系统的工作状态,一旦发现有可能引起排放超标的情况,会马上发出警示。
  • 频率转换器
    频率转换器
    +关注

关注此标签的用户(71人)

efans_293254 jf_66830249 cabal2012 爱笑的y jf_49832257 qhgemwcl shi15951733557 jf_18891000 Xiaomin88 jf_24230683 Cynia 啟斌_069

编辑推荐厂商产品技术软件/工具OS/语言教程专题