电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>业界新闻>行业新闻>AMD CFO:在65纳米技术方面正在赶上英特尔

AMD CFO:在65纳米技术方面正在赶上英特尔

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

英特尔五款优秀的CPU介绍

尽管英特尔曾经声称这是世界上第一个 16 位 CPU,但事实并非如此,事实上,英特尔正在追赶德州仪器 (Texas Instruments) 等公司,后者更早推出了 16 位芯片。
2024-03-18 10:19:4462

英特尔CFO称将持续从台积电采购,18A节点争取少量代工订单

辛斯纳强调,尽管当前不完全依赖台积电,但英特尔与台积电之间的合作关系并非仅限于竞争环境下。他解释道,由于当前英特尔自身产能不足,故采取“智能资本”战略来充分挖掘外包机会。
2024-03-18 10:19:3673

英特尔获准向华为出售芯片,AMD抗议不公

据媒体报道,拜登政府长期以来承受着西方国家撤销特朗普政府任期内英特尔继续供应华为许可的压力。此前,尽管华为在全球笔记本电脑市场所占比例较小,但其市场占有率正在稳步提升,其中英特尔供应的芯片被广泛应用于制造笔记本电脑产品。
2024-03-18 09:30:3281

英特尔CFO承诺维持与台积电合作,将在18A节点获得少量代工订单

据3月15日消息,在摩根士丹利TMT会上,英特尔CFO辛斯纳透露,英特尔将继续作为台积电的客户,希望能在18A节点获得少量代工订单。谈及公司当前依赖外部代工厂的程度,辛斯纳坦言比预想中的更甚。
2024-03-15 14:39:30318

苹果M3芯片和英特尔芯片的差距

苹果M3芯片和英特尔芯片在多个方面存在显著差异。首先,M3芯片是苹果自家研发的,采用了先进的制程技术和架构设计,使其具有出色的计算性能和多任务处理能力。而英特尔芯片则以其广泛的应用领域和稳定的性能著称。
2024-03-11 18:21:031214

m3芯片相当于英特尔几代cpu m3芯片相当于英特尔什么显卡

m3芯片相当于英特尔几代cpu 关于m3芯片相当于英特尔几代cpu的问题,实际上并没有一个准确的答案,因为不同的芯片制造商与英特尔的CPU产品线在性能、架构和用途等方面都存在一定的差异,因此很难进行
2024-03-11 18:13:171783

微软正在与英伟达、AMD英特尔合作以改进PC游戏画质技术

微软公布了一项新的Windows API,旨在为游戏开发者提供一种无缝的方式,让他们能够集成英伟达、AMD英特尔的超分辨率AI Up scaling功能。
2024-03-11 16:48:36345

苹果M3芯片与英特尔芯片对比

苹果M3芯片与英特尔芯片在多个方面存在显著差异。首先,M3芯片是苹果自家研发的,采用了先进的制程技术和架构设计,具有出色的计算性能和多任务处理能力。而英特尔芯片则以其广泛的应用领域和稳定的性能著称。
2024-03-08 16:12:54336

英特尔CEO称公司全力押注18A制程

据悉,18A制程作为英特尔推动至技术领先地位的第五个阶段,尽管未采用1.8纳米制造工艺,但宣称性能及晶体管密度均可与竞争对手的1.8纳米工艺相媲美。
2024-03-01 16:14:47133

英特尔押注18A制程,力争重回技术领先地位

据悉,18A 制程是英特尔技术引领道路上的关键阶段,虽非直接采用 1.8纳米工艺,英特尔仍自豪宣称其性能与晶体管密度媲美友商的 1.8 nm制程。
2024-02-29 15:13:29139

英特尔:2025年全球AIPC将超1亿台占比20%

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-29 09:15:26

英特尔1nm投产时间曝光!领先于台积电

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-28 16:28:32

Intel NUC专业机箱元件

Intel NUC专业机箱元件英特尔® NUC专业机箱元件是模块化金属机箱,设计用于容纳和运行英特尔NUC计算元器件。该机壳产品有两种设计选择:用于大多数协作环境的基础版本和用于需要更多I/O的视频
2024-02-27 11:55:30

英特尔首推面向AI时代的系统级代工

、韧性和可持续性方面均处于领先地位。 •英特尔代工宣布最新制程路线图,包括Intel 14A制程技术、专业节点的演化版本,及全新的英特尔代工先进系统封装及测试(Intel Foundry
2024-02-26 15:41:45146

英特尔拿下微软芯片代工订单

英特尔近日在美国圣荷西举行的首次晶圆代工活动中公布了其雄心勃勃的制程延伸蓝图。该公司首席执行官在会上表示,通过采用Intel 18A先进制程技术英特尔期望在2025年之前重新夺回制程技术的领先地位
2024-02-26 10:01:22204

英特尔再创辉煌!1.4nm芯片工艺领航微电子时代,工业界的新里程碑?

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-26 08:58:21

英特尔首推面向AI时代的系统级代工—英特尔代工

英特尔首推面向AI时代的系统级代工——英特尔代工(Intel Foundry),在技术、韧性和可持续性方面均处于领先地位。
2024-02-25 10:38:39221

英特尔宣布推进1.4纳米制程

,台积电和三星已经推出3纳米制程芯片,而英特尔则刚刚实现了5纳米制程。然而,这一决定表明英特尔有意在制程技术领域迎头赶上,计划在未来几年内推出更为先进的1.4纳米芯片。这一制程技术的推进将是英特尔为实现2025年之前进入2纳米芯片生产
2024-02-23 11:23:04172

微软将使用英特尔的18A技术生产芯片

微软将使用英特尔的18A技术生产芯片 据外媒报道微软公司计划使用英特尔的18A制造技术生产自研芯片。但是目前没有确切的消息表明微软将生产什么芯片,但是业界多估计是人工智能加速器。
2024-02-22 17:35:11356

英特尔向竞争对手AMD等开放芯片制造

基辛格针对相关问题作出解答,说明英特尔的代工厂将应用其尖端技术为主导客户打造各类芯片,同时全面提供自身全套的IP支持,包括杰出的封装技能。他特别表示,期待AMD等各行业巨头能成为英特尔的客户伙伴。
2024-02-22 15:25:12138

英特尔登顶2023年全球半导体榜单之首

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-01 11:55:16

英特尔NovaLake采用台积电2纳米

行业芯事行业资讯
深圳市浮思特科技有限公司发布于 2024-01-30 17:04:53

英特尔AMD处理器的区别和特点

英特尔AMD处理器的区别和特点 英特尔(Intel)和AMD是全球最著名的两个处理器制造商。他们都提供高性能、可靠的芯片,为消费者和企业用户提供强大的计算能力。然而,他们之间存在很多区别和特点
2024-01-30 14:28:331032

英特尔量产3D Foveros封装技术

英特尔在封装技术方面取得了重大突破,并已经开始大规模生产基于3D Foveros技术的产品。这项技术使得英特尔能够在单个封装中整合多个小芯片(Chiplets),从而提高了芯片的性能、尺寸和设计灵活性。
2024-01-26 16:04:50231

英特尔实现3D先进封装技术的大规模量产

是在英特尔最新完成升级的美国新墨西哥州Fab 9投产的。英特尔公司执行副总裁兼首席全球运营官Keyvan Esfarjani表示:“先进封装技术英特尔脱颖而出,帮助我们的客户在芯片产品的性能、尺寸,以及设计应用的灵活性方面获得竞争优势。” 这一里程
2024-01-25 14:24:34118

台积电的1纳米技术挑战与成本压力的博弈

1纳米尺寸的芯片制造面临着物理极限的挑战,可能导致晶体管的性能下降甚至失效。作为半导体行业的重要参与者之一,台积电已经宣布开始研发1纳米工艺。
2024-01-22 14:18:31232

纳米技术的特点 纳米技术有哪些用途

纳米技术是一种高度前沿的技术,利用控制和操纵物质的尺寸在纳米级别来创造新的材料和应用。纳米技术的特点主要包括以下几个方面:高比表面积、尺寸效应、量子效应和可调控性。 首先,纳米技术的一个重要特点是
2024-01-19 14:06:424309

纳米纳米复合传感器的研究进展综述

一维空心圆柱形碳纳米纳米结构自被发现以来,在纳米技术的发展中起着至关重要的作用。
2024-01-18 09:18:12464

英特尔酷睿14代处理器系列发布,Arrowlake/LunarLake24年问世

处理器英特尔
looger123发布于 2024-01-10 17:44:38

英特尔推出一家新的AI公司

英特尔高管没有透露该安排的财务细节,包括该交易的估值或英特尔在这家新企业中保留的多数股权。该组织将以独立的董事会运作,英特尔将继续作为股东。
2024-01-05 15:51:45624

英特尔的2023:以强大执行力推进产品、技术创新

创新,取得了多项突破,并以强大的执行力稳步按照既定路线图发布新产品,支持“芯经济”的蓬勃发展。 具体而言,2023年英特尔技术和产品方面主要取得了以下进展: 12月 英特尔推出新一代强大产品,加速推动AI在云边端的工作负载中
2023-12-29 14:33:06197

联电12纳米技术英特尔,或成联发科生产关键

据可靠消息来源透露,联电已就12纳米工艺授权与英特尔进行多轮接触且近期将达成协议。主要原因在于联电的12纳米 ARM架构技术和主攻 x86 架构的英特尔形成了很好的互补效应,根据计划,联电将在今后一段时间内收授高达数百亿新台币的专利费。
2023-12-28 14:46:00197

英特尔希望在2024年超越其芯片制造竞争对手

过去五年来,英特尔在先进芯片制造方面一直落后于台积电和三星。现在,为了重新夺回领先地位,该公司正在采取大胆且冒险的举措,在其台式机和笔记本电脑Arrow Lake处理器中引入两项新技术,该处理器将于2024年末推出。英特尔希望凭借新的晶体管技术和首创的电力输送系统超越竞争对手。
2023-12-25 14:50:38317

AI 无处不在,英特尔酷睿Ultra 和第五代英特尔至强可扩展处理器正式发布

今天,英特尔在北京举办以“AI无处不在,创芯无所不及”为主题的2023英特尔新品发布会暨AI 技术创新派对,携手ISV、OEM、CSP产业伙伴在内的AI生态,共同见证了英特尔AI战略的发布,以及
2023-12-16 16:05:03354

英特尔宣布完成PowerVia背面供电技术的开发

英特尔在2023年国际电子设备制造大会上宣布,他们已经成功完成了一项名为PowerVia的背面供电技术的开发。这个技术是基于英特尔的最新晶体管研究成果,它实现了互补金属氧化物半导体场效应晶体管
2023-12-11 16:10:42501

英特尔新处理器,掀AI PC战火

随着ai时代的到来,英特尔正在构想新的酷睿Ultra处理器(代号Meteor Lake),这是英特尔的第一个基于npu的处理器,旨在在pc上应用ai加速和边缘推理。meterlake采用Tile
2023-12-11 11:26:53467

台积电3纳米 明年5大客户即将加入

法人方面指出,随着英特尔扩大外包,双方的合作将会更加紧密。英特尔的新一代低功耗架构Lunar Lake MX(LNL)cpu单元将使用pc的n3b处理器。该项目长期在电脑内部启动,正在快速进行。Arrow Lake H/HX cpu将采用3纳米工艺生产,这将大大增加台湾积压生产能力。
2023-12-07 14:28:28265

2023 英特尔On技术创新大会中国站,相约12月19日!

转型行动方案 英特尔宋继强:智慧教育的加速密码——要算力井喷,更要产学融合 2023中关村论坛系列活动——英特尔智能医疗健康创新合作论坛在京成功举办 原文标题:2023 英特尔On技术创新大会中国站,相约12月19日! 文章出处:【微信公众号:
2023-12-01 20:40:02402

英特尔发布气候转型行动方案

近日,英特尔正式发布气候转型行动方案,详细介绍了英特尔减少碳足迹的路径。与本次方案同时发布的,还有来自英特尔CEO帕特·基辛格的一封信,信中详细介绍了这份报告,并概述了英特尔对推进可持续的商业实践
2023-11-24 20:00:02246

英特尔发布气候转型行动方案

英特尔正在为最大限度地减少环境足迹,提供驱动世界的芯片而努力。2022年,我很自豪地宣布英特尔的目标是在2040年实现全球温室气体排放零。今年,我们又将这一目标推进了一步,并承诺到2050年为止,在整个价值链中实现上游温室气体纯排放。
2023-11-21 10:16:16290

高通与AMD、苹果、英特尔推出Snapdragon X Elite

高通的Snapdragon X Elite专为运行Windows而设计,将在笔记本电脑方面AMD英特尔竞争。 在今年的骁龙峰会上,高通发布了其迄今为止最强大的PC处理器。专为运行 Windows
2023-11-14 15:30:56571

第二代英特尔®奔腾®M处理器产品手册

采用90纳米(nm)制程的英特尔®奔腾®M处理器使用优化的微架构,以满足当前和未来的高性能、低功耗的嵌入式计算技术的要求,使之成为大中型企业通信、交易终端机、互动终端和工业自动化应用的理想解决方案。该系列处理器结合先进处理器技术,并与英特尔®微处理器系列的早期产品软件兼容。
2023-11-14 14:41:530

AMD下一代芯片将采用台积电3nm及三星4nm制程

有报道称amd将利用4纳米技术将部分生产转移到三星,但具体交易规模尚未公开。新报道称,amd可能会使用三星vender工厂测试三星vender或部分i/o芯片,但根据目前的报告,amd不可能在三星4纳米内生产主要ip。
2023-11-13 11:16:36457

英特尔CEO:“四年五个制程节点”进展正在得到第三方肯定

近日,英特尔公司首席执行官帕特·基辛格表示,英特尔将按计划或提前完成其“四年五个制程节点”计划,英特尔在制程技术方面取得的进展正在得到第三方的充分肯定。 在2021年7月,英特尔公布了“四年五个制程
2023-11-10 17:48:09238

英特尔CEO基辛格:英特尔有三大败战!

另外,机型还对英特尔在2010年取消Larrabee的计划表示不满,因为Larrabee原本是一款早期的通用GPU。然而,就基辛格上一次退出英特尔公司后,该计划就被砍掉了。
2023-11-08 16:14:59306

英特尔亮相进博会,展示数实融合“芯”成果

2023年11月6日,上海——第六届中国国际进口博览会(简称“进博会”)正在上海举行。今年,英特尔在展台展示了推进摩尔定律的最新成果,以及与生态伙伴共同打造的在智能制造、智慧医疗、智能座舱、绿色
2023-11-07 09:18:10343

英特尔不应该担心英伟达Arm架构的PC芯片?恰恰相反

arm芯片制造企业此次向英特尔amd施压,谁能保证不会重蹈覆辙。也有人主张历史是反复的。arm pc并不是新的威胁。苹果的m芯片早在三年前就上市了,它不仅可以与英特尔amd的处理器相媲美,而且通常更加高效。
2023-10-31 10:06:56236

#高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

高通英特尔苹果
深圳市浮思特科技有限公司发布于 2023-10-27 16:46:07

首次采用EUV技术英特尔宣布Intel 4已大规模量产

 据英特尔中国透露,极紫外光刻技术正在驱动着算力主导着ai、先进移动网络、自动驾驶及新数据中心和云应用软件等计算需求最高的应用软件。另外,该技术将对英特尔到2025年为止的4年时间里完成5个工程节点,重新找回公正领导能力起到重要作用。
2023-10-16 10:08:32492

AMD的Phoenix SoC核心技术详解

AMD的移动和小型化之路曾一度艰辛。早在2010年代初期,英特尔在能效方面取得了巨大的进步,而AMD的基于Bulldozer的CPU核心在这方面没有机会。
2023-10-07 10:37:05401

探访英特尔CPU封装工厂内部

英特尔和台积电正在竞争提供最先进封装技术,而英特尔的马来西亚设施在其努力扩大Meteor Lake生产方面发挥着关键作用,这是一系列采用突破性生产技术的消费者CPU。到目前为止,这些设施一直被保密,而这个面纱在我们的参观中笼罩得很浓。
2023-09-28 17:22:202364

英特尔先进封装的玻璃基板技术解析

有机基板的材料主要由类似 PCB 的材料和编织玻璃层压板制成,允许通过芯片路由相当多的信号,包括基本的小芯片设计,例如英特尔的移动处理器(具有单独的 PCH 和 CPU 芯片)以及 AMD 基于小芯片的 Zen 处理器。
2023-09-28 11:29:121099

2023英特尔on技术创新大会:英特尔研究院展示多项技术“魔法”

英国著名科幻小说家阿瑟·克拉克(《2001:太空漫游》)有言:“任何先进的技术,初看都与魔法无异。”在英特尔这家巨大的半导体公司的内部,有一批人正在专注于此,即用新颖的方法,在广泛的前沿研究领域
2023-09-26 17:25:58268

英特尔CEO帕特·基辛格阐述“芯经济”概念,AI正在推动其蓬勃发展

“AI正在催生全球增长的新时代,在新时代中,算力起着更为重要的作用,让所有人迎来更美好的未来”,9月19日,在2023英特尔on技术创新大会的主题演讲中,英特尔公司首席执行官帕特·基辛格(Pat
2023-09-26 17:24:24691

英特尔研究院副总裁、英特尔中国研究院院长宋继强:英特尔技术为全行业带来卓越贡献

5G以及Thunderbolt的技术架构贡献方面的资料,以及这些技术对行业产生何种影响的时候,英特尔研究院副总裁、英特尔中国研究院院长宋继强是这样理解的。 英特尔积极参与行业5G全球标准工作,技术被业内广泛采用 英特尔研究院协同标准
2023-09-26 14:06:41289

一图读懂英特尔云原生开源技术

作为KubeCon China 2023 大会的钻石赞助商,9月26日-28日,英特尔在现场会有一个大的技术展示厅,其中包含10个现场展示,涵盖云原生基础设施,安全,人工智能以及可持续计算等。 欢迎
2023-09-23 10:10:08345

英特尔发布全球首款基于UCIe连接的Chiplet(小芯片)处理器

英特尔基于Chiplet的处理器,如Sapphire Rapids和新发布的Meteor Lake,目前使用专有接口和协议进行Chiplet之间的通信,但英特尔已宣布将在其下一代Arrow Lake消费级处理器之后使用UCIe接口。AMD和英伟达也在致力于自己的计划,但还没有展示可用的硅芯片。
2023-09-22 16:05:12432

英特尔on技术创新大会:加速AI和安全的融合

基于开放、选择、信任和安全,英特尔提出了一种“软件定义、芯片增强”的方法。 新闻亮点 · 英特尔全面启动一项全新验证服务,并将其作为英特尔® Trust Authority的部分功能。该项验证服务
2023-09-21 16:33:35214

2023英特尔on技术创新大会:助力开发者,让AI无处不在

AI促进了“芯经济”的崛起,一个由芯片和软件推动的全球增长新时代。 新闻亮点: · 英特尔明确表示其“四年五个制程节点”计划正在稳步推进当中,并展示了其首个基于通用芯粒高速互连开放规范(UCIe
2023-09-20 16:46:25222

同样是大小核,英特尔AMD和Arm玩法有何不同?

可处理 512 位宽度数据的 AVX-512 指令集变成英特尔的烫手山竽。 所以即使英特尔小核从 Alderlake 搭载的 Gracemont 效能有重大突破,相同功耗时,单核单线程性能比
2023-09-18 10:03:00394

与腾讯全方位合作,英特尔做了这些

一起,一个猛子扎进乐队的夏天 2023服贸会丨一起云逛展,看英特尔如何助力数实融合 既盖“四合院”,也建“摩天楼”,英特尔先进封装技术解析 原文标题:与腾讯全方位合作,英特尔做了这些 文章出处:【微信公众号:英特尔中国】欢迎添加关注!
2023-09-15 19:35:07336

2023服贸会丨一起云逛展,看英特尔如何助力数实融合

英特尔公司,英特尔英特尔logo及其它英特尔标识,是英特尔公司或其分支机构的商标。文中涉及的其它名称及品牌属于各自所有者资产。 原文标题:2023服贸会丨一起云逛展,看英特尔如何助力数实融合 文章出处:【微信公众号:英特尔中国】欢迎添加关注!文章转载请注明出处。
2023-09-09 13:15:02386

英特尔Agilex FPGA的优势和特性

英特尔推出全新英特尔 Agilex 7 FPGA,以支持在英特尔 DevCloud 中运行 oneAPI 基础工具套件(基础套件)工作负载,使您能够利用基于全新英特尔 FPGA 的高性能与低功耗计算解决方案。
2023-09-08 09:09:53605

英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

新闻亮点 ·   该多代合作协议将进一步推动英特尔IDM 2.0战略的发展; ·  通过扩大合作伙伴关系和加快提供IP的速度,该合作将支持英特尔代工服务生态的发展; ·  该合作建立在新思科
2023-08-26 10:20:01435

酷睿轻薄本也能运行大语言模型,英特尔推动 PC 生成式 AI 落地

展示了他们在 AIGC 上的技术方向,并进行了多个应用的演示。 首先,英特尔介绍了他们在大语言模型方面的优化和支持。在我们传统的认知里,运行类似 ChatGPT 这种大语言模型必须要有大显存的显卡支持。但这场交流会却颠覆了我们的认知。英特尔为了让 12、13代酷睿平台
2023-08-25 09:26:13689

英特尔开始加码封装领域

在积极推进先进制程研发的同时,英特尔正在加大先进封装领域的投入。在这个背景下,该公司正在马来西亚槟城兴建一座全新的封装厂,以加强其在2.5D/3D封装布局领域的实力。据了解,英特尔计划到2025年前
2023-08-24 15:57:32245

英特尔加入PyTorch基金会,通过PyTorch*推动AI普及

与创新,在加速AI发展方面发挥关键作用。加入PyTorch基金会,进一步彰显了英特尔致力于通过技术支持并培育其生态系统以加速机器学习框架的演进。 英特尔自2018年起为PyTorch提供支持,旨在通过丰富的硬件和开放的软件推动AI普及。英特尔正在不断推进PyTorch并拓展生态,以
2023-08-19 11:15:05317

英特尔锐炫显卡DX11性能更新,并推出全新英特尔PresentMon Beta

英特尔锐炫正式推出DirectX 11驱动更新,为PC游戏玩家带来更强劲性能,同时发布全新工具帮助发烧友和游戏社区更好地衡量和评估系统性能。准备好一起进入极客世界吧! 去年英特尔锐炫台式机产品发布
2023-08-19 11:10:01397

OpenVINO工具套件是否可以商业化使用?

参阅 英特尔® OpenVINO™分销许可第 2.1 节(2021 年 5 月版本)。 无法了解英特尔® 发行版 OpenVINO™ 工具套件是否可以商业化使用。
2023-08-15 08:19:20

安装OpenVINO工具套件英特尔Distribution时出现错误的原因?

安装OpenVINO™工具套件英特尔 Distribution时,出现错误: Python 3.10.0.ECHO is off. Unsupported Python version.
2023-08-15 08:14:13

使用OpenVINO trade 2021版运行Face_recognition_demo时报错怎么解决?

importing ie_api 推断 face_recognition_demo 与 OpenVINO™ 2021 版本和 英特尔® 神经电脑棒 2 (英特尔® NCS2) 插件丢点错
2023-08-15 06:20:01

RISC-V快速发展的处理器生态系统中找到立足点

provides a structure to move computing into the future. 英特尔AMD的芯片正在达到其物理极限,RISC-V的灵活性提供了一种将计算推向未来的结构
2023-08-11 18:20:57

Arm Forge 22.1.3版用户指南

。 Arm Forge支持许多并行体系结构和模型,包括MPI、CUDA和OpenMP。 Arm Forge是一款跨平台工具,支持最新的编译器和C++标准,以及英特尔、64位Arm、AMD
2023-08-10 06:29:21

通过引入工作负载整合来创建有效且可扩展的物联网基础设施

英特尔和Minsait-IT正在合作建立边缘统一服务框架。
2023-08-04 06:45:12

英特尔媒体加速器参考软件Linux版用户指南

英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器参考软件。
2023-08-04 06:34:54

台积电迎新劲敌,Rapidus横空杀出欲抢2纳米客户

8月2日消息,据台媒报道,台积电2纳米制程劲敌不只大家熟知的三星、英特尔,后面还有追兵,日本芯片国家队Rapidus也计划于2027年量产2纳米芯片,抢台积电客户。 值得关注的是,英特尔上周财报会议
2023-08-02 11:39:00440

如何在英特尔® 平台上实现高效的大语言模型训练后量化

本文介绍了可提升大语言模型的训练后量化表现的增强型 SmoothQuant 技术,说明了这项技术的用法,并证明了其在准确率方面的优势。此方法已整合至 英特尔  Neural Compressor
2023-07-14 20:10:06517

英特尔内部代工模式的最新进展

近日,英特尔执行副总裁兼首席财务官David Zinsner和英特尔公司副总裁兼企业规划事业部总经理Jason Grebe介绍了英特尔的内部代工模式及其诸多优势。 英特尔正在拥抱其成立55年以来
2023-06-30 19:55:03188

英特尔正在研发玻璃材质的芯片基板

据外媒EE Times报道,英特尔正在研发玻璃材质的芯片基板,以解决目前有机材质基板用于芯片封装存在的问题。 英特尔装配和测试主管Pooya Tadayon表示,玻璃的硬度优于有机材质,并且热膨胀
2023-06-30 11:30:07701

【机器视觉】欢创播报 | 英特尔宣布重大重组计划

1 英特尔宣布重大重组计划 近日,英特尔对外宣布,晶圆代工业务将成为独立部门。在一场线上分析师会议上,英特尔表示正在调整企业结构,计划明年第一季将把晶圆代工事业(IFS)独立运作,在财报单独列出损益
2023-06-29 14:07:10288

英特尔锐炫Pro图形显卡上新!

英特尔推出两款全新英特尔锐炫Pro图形显卡;搭载英特尔锐炫Pro A40图形显卡的系统现已出货。 全新发布: 英特尔今日宣布英特尔锐炫™ Pro A系列专业级图形显卡新增两款产品——英特尔
2023-06-21 13:10:18421

英特尔锐炫:实力之作,悄然已至!

发布之初,英特尔锐炫A770和A750显卡就已展现出不错的实力。几个月过去,它们已然成长为同价位最好的显卡之一。AMD和英伟达微弱的代际改进,再加上较高的价格,让用户很难在1500至2500元左右
2023-06-20 11:56:32388

AMD CEO苏姿丰如何带领AMD起死回生

在分享苏姿丰如何带领AMD起死回生,甚至超车头号竞争对手英特尔的故事之前,先来聊聊她的成长故事。
2023-06-19 15:37:071869

一起云逛展,带你感受英特尔开源前沿技术的魅力!

      原文标题:一起云逛展,带你感受英特尔开源前沿技术的魅力! 文章出处:【微信公众号:英特尔中国】欢迎添加关注!文章转载请注明出处。
2023-06-17 10:20:02322

英特尔酷睿品牌重大升级焕新!

近日,英特尔宣布了酷睿品牌的重大升级焕新,将其拆分为针对旗舰级的全新英特尔   酷睿 Ultra(该品牌在中国正式使用的名称将随后分享),以及针对主流级产品的英特尔 酷睿 处理器品牌。即将推出
2023-06-17 10:15:01414

英特尔要投资Arm?

英特尔首席执行官帕特·基辛格 (Pat Gelsinger) 推动公司重回半导体行业巅峰的努力的一个关键部分是一项向其他公司甚至竞争对手开放其工厂的计划。如果他要在外包生产方面成功地与台积电竞争,英特尔就必须生产包含 Arm 广泛使用的技术的芯片。
2023-06-14 14:28:34309

英特尔AMD的前30年竞争

在 1971 年 11 月,英特尔推出了第一款商用微处理器——英特尔 4004。这款 4 位芯片取代了之前的六款芯片。4004 最初是为计算器制造的,它设定了逻辑微处理器的基本原则:获取指令、执行它们,然后存储结果。
2023-06-12 16:29:57486

英特尔锐炫Pro图形显卡上新!

英特尔推出两款全新英特尔锐炫Pro图形显卡;搭载英特尔锐炫Pro A40图形显卡的系统现已出货。 全新发布: 英特尔今日宣布英特尔锐炫 Pro A系列专业级图形显卡新增两款产品——英特尔锐炫 Pro
2023-06-09 20:30:02445

英特尔如何玩转Chiplet?

英特尔最近的 DCAI 网络研讨会上,公司执行副总裁 Sandra Rivera 透露了英特尔第五代至强可扩展处理器 Emerald Rapids 的外观。
2023-06-02 16:54:21395

光刻技术再次升级了

中国在半导体芯片制造方面仍落后于美国。早在上世纪60年代,美国就开始占据世界半导体市场的绝对主导地位。随着技术的不断发展,半导体芯片的制造越来越精细化。从最初的65纳米工程到现在的7纳米技术开发,美国一直处于领先地位。
2023-06-01 10:12:43583

锐意进取,炫力出彩!英特尔持续耕耘锐炫显卡

高宇和多位技术专家分享了英特尔致力于耕耘客户端显卡的决心,并展示了英特尔正如何携手合作伙伴不断释放锐炫显卡在游戏体验、内容创作等方面的潜能。   英特尔公司中国区技术部总经理高宇表示:“数十年来,英特尔一直致力于推动 
2023-05-30 09:58:53257

英特尔Agilex7 R-tile正在批量交付

英特尔可编程解决方案事业部今日宣布,符合量产要求的英特尔Agilex7 R-tile正在批量交付。该设备是首款具备PCIe 5.0和CXL功能的FPGA,同时这款FPGA亦是唯一一款拥有支持上述接口所需的硬化知识产权(IP)的产品。
2023-05-25 10:06:31298

芯片行业,何时走出至暗时刻?

是市场需求持续疲软所致,IDC估计,全球PC出货量2023年第一季度下降了近30%;另一方面则是处理器市场变局持续发酵,苹果转用自家芯片、AMD奋起直追,让从前该领域一家独大的英特尔遭受了冲击。 另一方面
2023-05-06 18:31:29

英特尔和ARM合作 基于英特尔18A工艺进行设计技术协同优化

英特尔和Arm达成了一项合作协议,英特尔代工服务(Intel Foundry Services)和Arm将会进行设计技术协同优化,这意味着让芯片设计者能够基于英特尔18A制程打造低功耗的SoC
2023-04-19 14:31:23913

英特尔落户海南三亚

来源:海南商务官微 日前,英特尔公司在海南三亚注册成立英特尔集成电路(海南)有限公司。2023年4月8日,英特尔三亚办公室开业仪式在三亚中央商务区成功举办,标志着英特尔海南业务启动运作。海南省商务厅
2023-04-11 17:44:38900

英特尔宋继强:面向半导体“万亿时代”,以全栈创新推动算力发展

日”上,英特尔研究院副总裁、英特尔中国研究院院长宋继强发表了题为“智·变 拓·界”的主题演讲,分享了英特尔中国研究院对数字化时代半导体行业技术创新的思考,及在诸多前沿技术领域的最新进展。 中国数字经济正在“量质齐升
2023-04-04 10:15:56332

河套IT WALK(总第26期):英特尔发144核CPU、人工智能危机

的CPU,名为“Sapphire Rapids”。这款CPU是针对高性能计算(HPC)和人工智能(AI)领域的服务器市场而设计的,它将采用10纳米工艺制造,支持PCIe 5.0和DDR5内存等新技术。然而,这款CPU可能不会面向普通消费者市场,而是只提供给英特尔的合作伙伴和客户,如美国国
2023-04-01 01:30:04519

英特尔前GPU主管离职,留下的是新机遇还是烂摊子

IFS领导层的变动以外,另外一大新闻莫过于英特尔前GPU主管Raja Koduri的离职了。   从 AMD 、苹果到英特尔,以及未来去向   Koduri可以说是业内知名的GPU老将了,他曾在AMD
2023-03-29 01:09:001628

已全部加载完成