电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>关于VHDL和Verilog两种语言的异同分析和具体的比较

关于VHDL和Verilog两种语言的异同分析和具体的比较

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

如何快速在VerilogVHDL之间互转

Verilog语言VHDL语言两种不同的硬件描述语言,但并非所有人都同时精通两种语言,所以在某些时候,需要把Verilog代码转换为VHDL代码。本文以通用的XHDL工具为例对Verilog转换
2020-11-10 15:41:1110083

例说Verilog HDL和VHDL区别

VerilogVHDL之间的区别将在本文中通过示例进行详细说明。对优点和缺点的VerilogVHDL进行了讨论。
2023-12-20 09:03:54468

VHDL语言的程序结构与数据类型

两种:FALSE,TRUE。在应用上可以资助这种信号来代表某种动作是否完成,即代表某种情况的标志信号。⑵ 位(Bit)VHDL的位信号定义是:Type Bit is (‘0’,’1’);也就是说它的信号
2009-03-19 14:52:00

VHDLVerilog两种语言具体比较

2020-08-17 14:45:40

VHDL语句特性

目前,用于FPGA的编程语言主要有两种——verilogVHDL两种语言各有千秋。verilog由C语言发展而来,简单易学,代码一般也比较短,可以用于集成电路底层建模,而VHDL语法较为严谨
2014-01-04 11:35:34

Verilog语言问题

在看FPGA的资料,有一个关于Verilog语言的问题突然想不明白~{:16:}关于时序的,Verilog中,判断if成立的条件是当前值(感觉是电平式),还是过去值(感觉是时序)?例如:if(a==2) out
2013-03-25 21:31:58

verilog vhdl

新手求助,个位牛人能不能讲一下在ISE下verilogvhdl的混合编程能不能实现?能不能给一个具体的例子
2013-11-27 09:39:48

verilogvhdl是否可以共同组成一个工程

一个工程,一部分是用verilog编写的,而另一部分是用VHDL编写的,请问这样可以构成一个工程吗?还是只能使用一语言编写才能建立个工程?
2013-07-30 20:39:47

verilog的学习-从语言到上板

verilog语言的练习,实际上是为了培养你verilog编程的习惯,因为verilog的规范不想vhdl那么严,实际上好多编程技巧都是约定俗成的,所以,对于语言语法的学习,着重于踏实,多动手,慢慢来,不要
2015-02-05 17:29:41

vhdlverilog语法比较

VHDLverilog比较1vhdl语法要求严格 如赋值的数据类型必须一致, 左边为整数,右边必须也为整数, 左边为矢量右边必须也为矢量左右的数据的 位宽必须也一致,例如: library
2013-05-30 15:12:47

两种verilog语言写法的实现问题!求解答~

在看verilog代码时,看到这样两种表示方法:一是:“ wirea;assigna=b;”一是:“wirea=b;”请教各位大神这两种写法实现出的电路一样不,有什么区别没?研究了好久也没看明白
2015-01-29 14:33:02

两种键盘扫描方法对比分析哪个好?

两种键盘扫描方法对比分析哪个好?
2021-06-01 06:50:08

关于VHDLverilog的几点疑问

在贴吧逛了下,发现在FPGA模块上,大部分的编程语言都是verilog,用VHDL的很少,我之前学过的是VHDL,问下,这两种语言什么区别啊,还有必要学习下verilog吗?
2014-02-04 10:32:45

关于FPGA绝版精华笔记在这里

选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而不是在学习如何使用它。当然,你思维能转得
2020-10-21 15:07:39

关于modelsim和matlab协仿真的问题,用的是verilog语言。。。。

问题:用vhdl语言Verilog语言和matlab协仿真同一个程序,在modelsim上跑出来的结果不一样。导致时序有问题。网上查了很多资料没有结果。(调用的.m函数一样即输入信号一样)。例子
2015-04-28 09:12:50

比较嵌入式与互联网的异同

进入这家公司也快年了,说长不长,说短不短。这年真正从嵌入式行业转入互联网,从自己亲身经历来看,虽说同属IT行业,一样做后台开发,还是有很多不同之处,下面就来比较个工作的异同点:相同之处:从
2022-03-02 07:26:14

AVR的两种位操作及比较

AVR的两种位操作的比较(位域方式和移位宏方式) 测试环境如下: 硬件:AT90S2313 软件: WiinAVR gcc3.3-Os级优化(最小size)。 说明: 由于AVR不支持位操作,所以
2016-09-06 10:24:13

FPGA VHDLVerilog的相关资料分享

我们在学数字逻辑的时候编写过部分的VHDL代码,知道它的一些基本结构及语法,但是Verilog没有深入了解过(因为菜菜觉得会一就好啦,但是事实似乎证明Verilog似乎更好学也更简洁)那我们就先学
2022-01-18 06:25:50

FPGA实战演练逻辑篇4:VerilogVHDL

了更多工程师的青睐。即便是学校里没接触过VHDL的初学者,只要凭着一点C语言的底子加上一些硬件基础,三个月很快就可以熟悉Verilog语法。当然了,仅仅是入门还是远远不够的,真正掌握Verilog是必须
2015-03-16 12:00:54

Matlab提供的两种聚类分析

Matlab提供的两种聚类分析提供源程序代码
2020-04-29 11:21:37

SQL语言两种使用方式

SQL语言两种使用方式在终端交互方式下使用,称为交互式SQL嵌入在高级语言的程序中使用,称为嵌入式SQL―高级语言如C、Java等,称为宿主语言嵌入式SQL的实现方式源程序(用主语言和嵌入式SQL
2021-12-20 06:51:26

SQL语句的两种嵌套方式

一般情况下,SQL语句是嵌套在宿主语言(如C语言)中的。有两种嵌套方式:1.调用层接口(CLI):提供一些库,库中的函数和方法实现SQL的调用2.直接嵌套SQL:在代码中嵌套SQL语句,提交给预处理器,将SQL语句转换成对宿主语言有意义的内容,如调用库中的函数和方法代替SQL语句
2019-05-23 08:51:34

nTrace中的信号添加的nWave中报路径错误(Verilog VHDL mix)

VHDL语言没有这种错误。也就是说以上问题是两种语言相互调用时出现的。Verilog调用VHDL模块,VHDL调用Verilog模块,有什么注意的吗?Verdi在混合语言中有这种问题吗?有没有将VHDL code翻译为Verilog code的工具?
2016-01-10 18:37:53

【干货】VHDLVerilog互转软件使用及安装教程,芯航线电子工作室, 六月飞鱼编写

多的是xilinx的vhdl文件比较多,所以小谈一下转换之后,需要更改的一些地方:毕竟两种语言是有一定的区别,vhdlverilog之后,直接编译转换后的.v工程一般会报这些错误,由于VHDL其子模
2016-04-13 08:01:40

一句verilog语言转成VHDL语言的问题

小弟遇到一个问题需要把一句verilog语言VHDL语言表达出来,语言如下:adc_data_out[15:14]
2014-09-17 10:00:21

初学FPGA应该学习VHDL还是 Verilog_HDL语言,请高手指条路.

大家好,小妹刚打算学习FPAG,请问初学FPGA应该学习VHDL还是 Verilog_HDL语言,请高手指条路.谢谢
2013-02-18 11:31:10

初学者应该选择VHDL还是Verilog语言学习

我想学FPGA,但我不知道应该选择VHDL还是Verilog,我想选择一个工作中用得多的语言学习,希望大家能给点建议。
2013-01-09 22:14:31

勇敢的芯伴你玩转Altera FPGA连载4:VerilogVHDL

脱颖而出,成为了公认的行业标准。对于这两种不同的语法,它们的历史渊源、孰优孰劣这里就不提了。美国和中国***地区的逻辑设计公司大都以Verilog语言为主,国内目前学习和使用Verilog的人数也在逐渐
2017-09-26 21:07:34

verilog中调用VHDL模块

[table][tr][td]习惯了自己发现一些小问题,既然发现了,就记下来吧,不然又要忘了,这是多么悲痛的领悟。 今天在用vivado进行块设计时所生成的顶层模块居然是用VHDL语言描述的,这时
2018-07-03 12:58:49

verilog中调用VHDL模块

[table][tr][td]习惯了自己发现一些小问题,既然发现了,就记下来吧,不然又要忘了,这是多么悲痛的领悟。 今天在用vivado进行块设计时所生成的顶层模块居然是用VHDL语言描述的,这时
2018-07-09 01:14:18

学fpga需要哪些基础

。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而不是在学习如何使用它。当然,你思维能转得过来,也可以选verilog,毕竟在国内verilog用得比较多。接下来
2021-07-16 08:10:06

干货技巧 VHDL精密,Verilog简洁,但要写好任一都要遵守这25条代

运算、移位运算和条件运算。点评:VHDL的运算划分比较抽象,适应面较广Verilog HDL的运算划分比较具体,对逻辑代数反映更细致一些。4.语句两种语言的语句都分为并行语句和顺序语句,并行语句在
2018-06-07 18:11:03

怎么比较两种FPGA设计

比较两种设计时使用什么更实用?来自地图报告的占用切片或来自综合报告的实际比率以上来自于谷歌翻译以下为原文What is more practical to use in comparing two
2018-10-22 11:17:40

怎么让ise 12.4设置为verilog而不是vhdl

我使用ise 12.4和pcie CORE Generator,语言设置为verilog而不是vhdl。我希望每次使用vhdl作为我的优先语言,但是当我打开项目选项时,CORE Generator
2019-01-24 10:28:25

我是学Verilog呢还是VHDL

VHDL相对会熟悉一点点,毕竟最近看的教材介绍的都是VHDL的,Verilog HDL则是完全没接触过。请大家不吝赐教。可以的话,请告诉我,除了学习好开发语言,一些数电知识,还要具备哪些方面的知识?如果要购买开发板自己做开发玩,有什么比较适合我这样的菜鸟玩的吗?菜鸟真心求帮忙~~!!
2013-09-06 15:03:08

掌握原理图输入以及Verilog两种设计方法

过1位全加器的详细设计,掌握原理图输入以及Verilog两种设计方法。
2021-11-08 07:57:18

数字IC设计入门(6)初识verilog 精选资料推荐

Verilog HDL与VHDL是当前最流行的两种硬件设计语言者各有优劣,也各有相当多的拥护者,都通过了IEEE 标准。VHDL在北美及欧洲应用很普遍,Verilog HDL 语言在中国、日本
2021-07-26 06:39:56

有关FPGA开发语言VHDLVerilog的疑惑

本人小菜鸟,开始学FPGA的时候学的Verilog语言,后来因为课题组前期的工作都是VHDL就该学VHDL了。最近听了几个师兄的看法,说国内用VHDL的已经很少了,建议我还是坚持用Verilog,小菜现在好纠结,请问到底应该用哪种语言呢?望各位大神指点!
2015-07-08 10:07:56

现在社会上Verilogvhdl哪个用的比较多?

现在社会上Verilogvhdl哪个用的比较多?
2016-09-08 20:45:56

简谈:如何学习FPGA? 精选资料分享

C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言,而不是在学习如何使用它。当然,你思维能转得过来,也可以选verilog,毕竟在国内verilog用得比较多。  接下来,首先找本实例抄
2021-07-21 06:34:54

VHDL/Verilog的初学者的一些实用建议

注重实用,Verilog要比VHDL简洁得多。由此可见,这两种最流行的用于电路设计的语言,没有一是为了设计硬件而开发的(更何况80年代还没有现在的那些功能强大的EDA软件呢)。因此,当初制订HDL
2019-03-27 07:00:00

自电容与互电容两种检测坐标扫描方式有啥异同

自电容与互电容两种检测坐标扫描方式有啥异同
2012-11-20 15:59:22

请问VHDL语言verilog语言有什么区别?

VHDL语言verilog语言有何区别
2019-03-28 06:52:52

请问VHDL语言verilog语言有什么区别?

VHDL语言verilog语言有何区别
2019-03-29 07:55:09

请问C语言两种引用头文件方式的区别是什么?

请问C语言两种引用头文件方式的区别是什么?
2021-10-15 07:36:30

请问在PCB设计过程中两种电源分配方式的具体含义是什么?

在pcb设计过程中,电源分配方式有两种:总线方式和电源层方式,谁能告诉我这两种方式的具体含义吗?
2019-08-05 23:00:18

X-HDL v3.2.55 VHDL/Verilog语言翻译器

X-HDL:软件简介—SoftWare Description: X-HDL v3.2.55 VHDL/Verilog语言翻译器 一款VHDL/Verilog语言翻译器。可实现VHDLVerilog语言的相互智能化转化。这分别是windows、linux、solaris版本。
2006-03-25 12:00:47355

VHDL语言及其应用

VHDL语言及其应用的主要内容:第一章 硬件模型概述第二章 基本的VHDL编程语言第三章 VHDL模型的组织第四章 VHDL综合工具第五章 VHDL应用样例附录A VHDL
2009-07-20 12:06:150

VHDL语言概述

VHDL语言概述:本章主要内容:􀁺硬件描述语言(HDL)􀁺VHDL语言的特点􀁺VHDL语言的开发流程 1.1 1.1 硬件描述语言( 硬件描述语言(HDL HDL)􀂾H
2009-08-09 23:13:2047

基于Verilog HDL语言的FPGA设计

采用 Verilog HDL 语言在Altera 公司的FPGA 芯片上实现了RISC_CPU 的关键部件状态控制器的设计,以及在与其它各种数字逻辑设计方法的比较下,显示出使用Verilog HDL语言的优越性.关键词
2009-08-21 10:50:0569

使用VHDL语言中几个常见问题的探讨

结合应用MaxplusⅡ软件进行VHDL 语言代码编写的经验,阐述使用VHDL 语言的过程中比较常见的几个问题。
2009-09-10 16:19:2425

VHDL 语言程序的元素

VHDL 语言程序的元素:本章主要内容:􀁺VHDL语言的对象􀁺VHDL语言的数据类型􀁺VHDL语言的运算符􀁺VHDL语言的标识符􀁺VHDL语言的词法单元
2009-09-28 14:32:2141

五个ARM处理器核心verilog/VHDL源代码

五个ARM处理器核心verilog/VHDL源代码 有几中编程语言。.net.vbh...
2010-02-09 11:32:13138

VHDLVerilog 黄金参考手册

这两本书是对VHDLVerilog语言的详细剖析,对初学者而言是快速上手的经典教材,对高手而言则是对语言深入了解的必备参考.
2010-07-08 15:53:40154

Verilog HDL语言在FPGA/CPLD开发中的应用

摘 要:通过设计实例详细介绍了用Verilog HDL语言开发FPGA/CPLD的方法,并通过与其他各种输入方式的比较,显示出使用Verilog HDL语言的优越性。
2009-06-20 11:51:281857

VHDLVerilog HDL语言对比

VHDLVerilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL
2010-02-09 09:01:1710317

ISO 9001与CMM异同分析

ISO 9001与CMM异同分析 美国软件工程研究所(SEI)开发的软件过程能力成熟度模型(CMM)和国际标准化组织(ISO)开发的ISO 9000标准系列
2010-04-14 11:12:06710

Verilog HDL与VHDL及FPGA的比较分析

Verilog HDL与VHDL及FPGA的比较分析. Verilog HDL优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。
2011-01-11 10:45:291182

PLD/FPGA硬件语言设计verilog HDL

在我国使用Verilog HDL的公司比使用VHDL的公司多。从EDA技术的发展上看,已出现用于CPLD/FPGA设计的硬件C语言编译软件,虽然还不成熟,应用极少,但它有可能会成为继VHDLVerilog之后,设计大规模CPLD/FPGA的又一种手段。
2011-03-12 11:21:201686

VHDL,Verilog,System verilog比较

本文简单讨论并总结了VHDLVerilog,System verilog 这三中语言的各自特点和区别 As the number of enhancements
2012-01-17 11:32:020

硬件描述语言VHDL入门

vhdl语言,第二章介绍。关于数字系统设计方面的知识。
2016-01-18 14:41:550

verilogVHDL相互转化软件

一款基于verilogVHDL相互转化的软件,用着很方便,很实用。
2016-03-21 17:26:4820

VHDLVerilog互转的软件

VHDLVerilog互转的软件, X-HDL v4.21 Crack.zip
2016-06-03 16:16:5310

Xilinx Sdram 参考设计:含VerilogVHDL

Xilinx FPGA工程例子源码:含VerilogVHDL版本级详细说明文档
2016-06-07 14:54:570

VHDL硬件描述语言

VHDL语言编程学习之VHDL硬件描述语言
2016-09-01 15:27:270

Verilog硬件描述语言

VHDL语言编程学习Verilog硬件描述语言
2016-09-01 15:27:270

关于Verilog语言标准层次问题

关于Verilog语言的官方标准全称是《IEEE Std 1364-2001:IEEE Standard Verilog® Hardware Description Language》。其中包括27章以及8个附录,真正对于电路设计有用的内容大约1/3的样子。
2018-07-06 09:59:004748

vhdlverilog的区别_vhdlverilog哪个好?

国国防部确认为标准硬件描述语言Verilog HDL是一种硬件描述语言(HDL:Hardware Description Language),以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。
2018-03-23 16:43:13121931

VHDL教程之VHDL语言元素的详细资料概述

本文档的主要内容详细介绍的是VHDL教程之VHDL语言元素的详细资料概述一内容包括了:1. VHDL语言的客体2 VHDL语言的数据类型3 VHDL数据类型转换4 VHDL词法规则与标识符
2018-11-05 08:00:000

使用VHDL语言设计比较器与实时仿真的资料合集免费下载

本文档的主要内容详细介绍的是使用VHDL语言设计比较器与实时仿真的资料合集免费下载。
2019-06-03 08:00:000

实现Verilog HDL模块化程序设计的详细资料说明

电子技术设计的核心是EDA,目前,EDA技术的设计语言主要有Verilog HDL和VHDL两种,相对来说Verilog HDL语言相对简单,上手快,其语法风格与C语言类似,据统计,Verilog
2020-03-25 08:00:004

什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

Verilog HDL和VHDL的区别

IEEE标准。 这两种语言都是用于bai数字电子系统设计的硬件描述语言,而且都已经zhi是 IEEE 的标准。 VHDL 1987 年成为dao标准,而 Verilog 是 1995 年才成为标准
2020-06-17 16:13:1112911

常用的hdl语言有哪两种

Verilog HDL和VHDL是目前两种最常用的硬件描述语言,同时也都是IEEE标准化的HDL语言
2020-08-25 09:14:348605

vhdl转换为verilog_VHDLVerilog谁更胜一筹

今天给大家分享一个VHDLVerilog的工具。很多新手初次学习FPGA都曾遇到过一个问题:是学Verilog OR VHDL
2020-08-25 09:22:056116

Verilog HDL语言技术要点

的是硬件描述语言。最为流行的硬件描述语言两种Verilog HDL/VHDL,均为IEEE标准。Verilog HDL具有C语言基础就很容易上手,而VHDL语言则需要Ada编程基础。另外Verilog
2020-09-01 11:47:094002

探讨VHDLVerilog模块互相调用的问题

1、 关于如何在VHDL模块调用一个Verilog模块 在VHDL模块声明一个要与调用的Verilog模块相同名称的元件(component),元件的名称和端口模式应与Verilog模块的名称和输入
2021-04-30 14:06:048673

Verilog有哪几个版本?怎样去写出它?

众所周知,用于FPGA开发的硬件描述语言(HDL)主要有两种VerilogVHDL
2021-06-15 16:12:044293

Verilog HDL verilog hdl和vhdl的区别

Verilog HDL是一种以文本形式描述数字系统硬件的结构和行为的硬件描述语言,也可描述逻辑电路图、逻辑表达式等。Verilog HDL和VHDL是目前主流的、最受欢迎的两种硬件描述语言
2021-07-23 14:36:559911

VHDLVerilog硬件描述语言如何用TestBench来进行仿真

小的设计中,用TestBench来进行仿真是一个很不错的选择。 VHDLVerilog语言的语法规则不同,它们的TestBench的具体写法也不同,但是应包含的基本结构大体相似,在VHDL的仿真文件中应包含以下几点:实体和结构体声明、信号声明、顶层设计实例化、提供激励;Verilog的仿真文件应包
2021-08-04 14:16:443307

Verilog是编程语言

知乎上刷到一个问题,问性能最强的编程语言是什么?看到高赞回答到是Verilog,然后在评论区就引发了一场Verilog到底算不算编程语言的争论,我觉得比较有意思,所以就也打算唠唠这个事情。 趁着最近
2021-08-23 14:30:495558

如何比较两种截然不同的编程语言的性能

问题: 如何比较两种截然不同的编程语言的性能。为了进行有意义的比较,我们必须使用两种编程语言实现一系列测试程序,运行基准测试,然后再比较最后的结果。 实际上,这种比较的难度很大,有时甚至非常费时费力。尽管问
2021-09-02 14:55:511824

数字IC设计入门(6)初识verilog

Verilog HDL与VHDL是当前最流行的两种硬件设计语言,两者各有优劣,也各有相当多的拥护者,都通过了IEEE 标准。VHDL在北美及欧洲应用很普遍,Verilog HDL 语言在中国、日本
2021-11-06 09:05:5715

Verilog HDL高级数字设计

第一句话是:还没学数电的先学数电。然后你可以选择verilog或者VHDL,有C语言基础的,建议选择VHDL。因为verilog太像C了,很容易混淆,最后你会发现,你花了大量时间去区分这两种语言
2022-11-03 09:02:562626

verilog移位操作和C语言的移位操作的异同点有哪些?

C语言的移位操作和Verilog语言的移位操作在某些方面具有相似之处,但也存在一些显著的不同点。下面我们将通过代码示例来阐述这两种语言的移位操作。
2023-08-28 09:43:26364

Verilog HDL语言的发展历史和主要能力

VHDL是世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准
2023-08-29 15:58:290

VHDLVerilog硬件描述语言TestBench的编写

小的设计中,用TestBench来进行仿真是一个很不错的选择。VHDLVerilog语言的语法规则不同,它们的TestBench的具体写法也不同,但是应包含的基本结构大体相似,在VHDL的仿真文件中应包含以下几点:实体和结构
2023-09-09 10:16:56721

fpga芯片用什么编程语言

FPGA芯片主要使用的编程语言包括Verilog HDL和VHDL。这两种语言都是硬件描述语言,用于描述数字系统的结构和行为。
2024-03-14 16:07:3885

已全部加载完成