0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Verilog HDL verilog hdl和vhdl的区别

如意 来源:百度百科 作者:百度百科 2021-07-23 14:36 次阅读

Verilog HDL verilog hdl和vhdl的区别

Verilog HDL是一种以文本形式描述数字系统硬件的结构和行为的硬件描述语言,也可描述逻辑电路图、逻辑表达式等。Verilog HDL和VHDL是目前主流的、最受欢迎的两种硬件描述语言。

Verilog HDL用于从算法级、门集到开关级的多种抽象设计层次的数字系统建模。

Verilog HDL语言具有这些描述能力,如设计的行为特性、设计的数据流特性、设计的结构组成及包含响应监控和设计验证方面的时延和波形产生机制,同时Verilog HDL还提供了编程语言接口,通过该接口可在模拟、验证器件从外部访问设计,也包括模拟的具体控制和运行。

Verilog VDL语言不仅定义了语法,编写的模型也可通过Verilog仿真器进行验证,也因为从C语言继承了多钟操作符和结构,具备扩展的建模能力。

使用Verilog描述硬件的基本设计单元是模块(module),复杂的电子电路主要是通过模块的相互连接调用实现的,模块被包含在关键字module、endmodule内。

Verilog HDL的数据类型是具有八种信号强度的四值逻辑,分别是

0代表逻辑低电平,条件为假

1代表逻辑高电平,条件为真

z代表高阻态,浮动

x代表未知逻辑电平

Verilog HDL所用到的变量都属于线网类型和寄存器

Verilog HDL与VHDL的区别在于

1.Verilog HDL继承自C语言,VHDL继承自ADA

2.Verilog HDL描述的是行为级、RTL级、门级、开关级,不支持电路级和版图级;VHDL描述的是系统级、行为级、RTL级、门级。

以上是关于Verilog HDL的基础知识,希望对用户有所帮助

本文整合自百度百科

责编AJX

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • vhdl
    +关注

    关注

    30

    文章

    814

    浏览量

    127666
  • Verilog HDL
    +关注

    关注

    17

    文章

    125

    浏览量

    50186
收藏 人收藏

    评论

    相关推荐

    Verilog HDL数字集成电路设计方法概述

    电子发烧友网站提供《Verilog HDL数字集成电路设计方法概述.zip》资料免费下载
    发表于 02-03 09:27 2次下载

    通过HDL制作了一个4位计数组件VERILOG ,如何设置像这些标准组件这样的API?

    我通过 HDL 制作了一个 4 位计数组件 VERILOG ,如何设置像这些标准组件这样的 API? 例如 counter_writeCounter ()、counter_readCounter () 的命令。
    发表于 01-25 06:06

    #2024,立Flag了嘛? #spinal HDL的基本模块结构

    ]):Unit = { SpinalVerilog(new Demo01)// 生成对应的Verilog HDL文件 } } 生成对应的Verilog HDL // Gener
    发表于 01-21 11:15

    例说Verilog HDLVHDL区别

    VerilogVHDL之间的区别将在本文中通过示例进行详细说明。对优点和缺点的VerilogVHDL进行了讨论。
    的头像 发表于 12-20 09:03 635次阅读
    例说<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>和<b class='flag-5'>VHDL</b><b class='flag-5'>区别</b>

    Verilog HDL实用精解配套源代码

    轻松成为设计高手Verilog HDL 实用精解 配套源代码。
    发表于 10-09 06:28

    Verilog HDL语言的发展历史和主要能力

    Verilog HDL是一种硬件描述语言,以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL
    发表于 08-29 15:58 0次下载

    二十进制编码器及Verilog HDL描述 Verilog HDL程序的基本结构及特点

    节通过硬件描述语言Verilog HDL对二十进制编码器的描述,介绍Verilog HDL程序的基本结构及特点。
    的头像 发表于 08-28 09:54 1311次阅读
    二十进制编码器及<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>描述 <b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>程序的基本结构及特点

    Verilog例程 Verilog HDL程序设计教程

    Verilog大量例程(简单入门到提高)
    发表于 08-16 11:49 0次下载

    使用Verilog HDL描述寄存器的硬件

    刚接触数字集成电路设计,特别是Verilog HDL语言的同学,往往不理解什么时候变量需要设置为wire型,什么时候需要设置成reg型。
    发表于 07-13 15:53 586次阅读
    使用<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>描述寄存器的硬件

    Verilog HDL中使用分频器的8位计数器的设计

    电子发烧友网站提供《在Verilog HDL中使用分频器的8位计数器的设计.zip》资料免费下载
    发表于 06-15 10:14 0次下载
    在<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>中使用分频器的8位计数器的设计

    一本Verilog HDL代码对应电路的书,助你快速编写可综合模型

    建立用于RTL综合的Verilog标准化子集。他是贝尔实验室所开发的ArchSyn综合系统的主要设计者之一。他曾为AT&T和Lucent的许多设计师讲授Verilog HDL语言和Verilo
    的头像 发表于 05-26 16:59 958次阅读
    一本<b class='flag-5'>Verilog</b> <b class='flag-5'>HDL</b>代码对应电路的书,助你快速编写可综合模型

    Verilog HDL数字集成电路设计原理与应用

    Verilog HDL数字集成电路设计原理与应用(蔡觉平)西安电子科技大学出版社
    发表于 05-26 15:23 0次下载

    FPGA编程语言之verilog语法2

    Verilog HDL是一种用于数字系统设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog
    的头像 发表于 05-22 15:53 560次阅读
    FPGA编程语言之<b class='flag-5'>verilog</b>语法2

    FPGA编程语言之verilog语法1

    Verilog HDL是一种用于数字系统设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog
    的头像 发表于 05-22 15:52 587次阅读
    FPGA编程语言之<b class='flag-5'>verilog</b>语法1

    Vivado HDL编写示例

    Vivado 软件提供了HDL编写中常用的示例,旨在帮助初学者更好地理解和掌握HDL编程,这里分享一下verilog代码示例。
    的头像 发表于 05-16 16:58 669次阅读